JAJSE26 October   2017 MSP432E411Y

PRODUCTION DATA.  

  1. 1デバイスの概要
    1. 1.1 特長
    2. 1.2 アプリケーション
    3. 1.3 概要
    4. 1.4 機能ブロック図
  2. 2改訂履歴
  3. 3Device Characteristics
    1. 3.1 Related Products
  4. 4Terminal Configuration and Functions
    1. 4.1 Pin Diagram
    2. 4.2 Pin Attributes
    3. 4.3 Signal Descriptions
    4. 4.4 GPIO Pin Multiplexing
    5. 4.5 Buffer Type
    6. 4.6 Connections for Unused Pins
  5. 5Specifications
    1. 5.1  Absolute Maximum Ratings
    2. 5.2  ESD Ratings
    3. 5.3  Recommended Operating Conditions
    4. 5.4  Recommended DC Operating Conditions
    5. 5.5  Recommended GPIO Operating Characteristics
    6. 5.6  Recommended Fast GPIO Pad Operating Conditions
    7. 5.7  Recommended Slow GPIO Pad Operating Conditions
    8. 5.8  GPIO Current Restrictions
    9. 5.9  I/O Reliability
    10. 5.10 Current Consumption
    11. 5.11 Peripheral Current Consumption
    12. 5.12 LDO Regulator Characteristics
    13. 5.13 Power Dissipation
    14. 5.14 Thermal Resistance Characteristics, 212-Pin ZAD (NFBGA) Package
    15. 5.15 Timing and Switching Characteristics
      1. 5.15.1  Load Conditions
      2. 5.15.2  Power Supply Sequencing
        1. 5.15.2.1 Power and Brownout
          1. 5.15.2.1.1 VDDA Levels
          2. 5.15.2.1.2 VDD Levels
          3. 5.15.2.1.3 VDDC Levels
          4. 5.15.2.1.4 VDD Glitch Response
          5. 5.15.2.1.5 VDD Droop Response
      3. 5.15.3  Reset Timing
      4. 5.15.4  Clock Specifications
        1. 5.15.4.1 PLL Specifications
          1. 5.15.4.1.1 PLL Configuration
        2. 5.15.4.2 PIOSC Specifications
        3. 5.15.4.3 Low-Frequency Oscillator Specifications
        4. 5.15.4.4 Hibernation Low-Frequency Oscillator Specifications
        5. 5.15.4.5 Main Oscillator Specifications
        6. 5.15.4.6 Main Oscillator Specification WIth ADC
        7. 5.15.4.7 System Clock Characteristics With USB Operation
      5. 5.15.5  Sleep Modes
      6. 5.15.6  Hibernation Module
      7. 5.15.7  Flash Memory
      8. 5.15.8  EEPROM
      9. 5.15.9  Input/Output Pin Characteristics
        1. 5.15.9.1 Types of I/O Pins and ESD Protection
          1. 5.15.9.1.1 Hibernate WAKE pin
          2. 5.15.9.1.2 Nonpower I/O Pins
      10. 5.15.10 External Peripheral Interface (EPI)
      11. 5.15.11 Analog-to-Digital Converter (ADC)
      12. 5.15.12 Synchronous Serial Interface (SSI)
      13. 5.15.13 Inter-Integrated Circuit (I2C) Interface
      14. 5.15.14 Ethernet Controller
        1. 5.15.14.1 DC Characteristics
        2. 5.15.14.2 Clock Characteristics for Ethernet
        3. 5.15.14.3 AC Characteristics
      15. 5.15.15 Universal Serial Bus (USB) Controller
      16. 5.15.16 LCD Controller
        1. 5.15.16.1 LCD Interface Display Driver (LIDD Mode)
          1. 5.15.16.1.1 Hitachi Mode
          2. 5.15.16.1.2 Motorola 6800 Mode
          3. 5.15.16.1.3 Intel 8080 Mode
        2. 5.15.16.2 LCD Raster Mode
      17. 5.15.17 Analog Comparator
      18. 5.15.18 Pulse-Width Modulator (PWM)
      19. 5.15.19 Emulation and Debug
  6. 6Detailed Description
    1. 6.1 Overview
    2. 6.2 Functional Block Diagram
    3. 6.3 Arm Cortex-M4F Processor Core
      1. 6.3.1 Processor Core
      2. 6.3.2 System Timer (SysTick)
      3. 6.3.3 Nested Vectored Interrupt Controller (NVIC)
      4. 6.3.4 System Control Block (SCB)
      5. 6.3.5 Memory Protection Unit (MPU)
      6. 6.3.6 Floating-Point Unit (FPU)
    4. 6.4 On-Chip Memory
      1. 6.4.1 SRAM
      2. 6.4.2 Flash Memory
      3. 6.4.3 ROM
      4. 6.4.4 EEPROM
      5. 6.4.5 Memory Map
    5. 6.5 Peripherals
      1. 6.5.1  External Peripheral Interface (EPI)
      2. 6.5.2  Cyclical Redundancy Check (CRC)
      3. 6.5.3  Advanced Encryption Standard (AES) Accelerator
      4. 6.5.4  Data Encryption Standard (DES) Accelerator
      5. 6.5.5  Secure Hash Algorithm/Message Digest Algorithm (SHA/MD5) Accelerator
      6. 6.5.6  Serial Communications Peripherals
        1. 6.5.6.1 Ethernet MAC and PHY
        2. 6.5.6.2 Controller Area Network (CAN)
        3. 6.5.6.3 Universal Serial Bus (USB)
        4. 6.5.6.4 Universal Asynchronous Receiver/Transmitter (UART)
        5. 6.5.6.5 1-Wire Master Module
        6. 6.5.6.6 Inter-Integrated Circuit (I2C)
        7. 6.5.6.7 Quad Synchronous Serial Interface (QSSI)
      7. 6.5.7  System Integration
        1. 6.5.7.1 Direct Memory Access (DMA)
        2. 6.5.7.2 System Control and Clocks
        3. 6.5.7.3 Programmable Timers
        4. 6.5.7.4 Capture Compare PWM (CCP) Pins
        5. 6.5.7.5 Hibernation (HIB) Module
        6. 6.5.7.6 Watchdog Timers
        7. 6.5.7.7 Programmable GPIOs
      8. 6.5.8  LCD Controller
      9. 6.5.9  Advanced Motion Control
        1. 6.5.9.1 Pulse Width Modulation (PWM)
        2. 6.5.9.2 Quadrature Encoder With Index (QEI) Module
      10. 6.5.10 Analog
        1. 6.5.10.1 ADC
        2. 6.5.10.2 Analog Comparators
      11. 6.5.11 JTAG and Arm Serial Wire Debug
      12. 6.5.12 Peripheral Memory Map
    6. 6.6 Identification
    7. 6.7 Boot Modes
  7. 7Applications, Implementation, and Layout
    1. 7.1 System Design Guidelines
  8. 8デバイスおよびドキュメントのサポート
    1. 8.1 使い始めと次の手順
    2. 8.2 デバイスの項目表記
    3. 8.3 ツールとソフトウェア
    4. 8.4 ドキュメントのサポート
    5. 8.5 Community Resources
    6. 8.6 商標
    7. 8.7 静電気放電に関する注意事項
    8. 8.8 Export Control Notice
    9. 8.9 Glossary
  9. 9メカニカル、パッケージ、および注文情報

パッケージ・オプション

メカニカル・データ(パッケージ|ピン)
  • ZAD|212
サーマルパッド・メカニカル・データ
発注情報

Terminal Configuration and Functions

Pin Diagram

Figure 4-1 shows the pinout of the 212-pin NFBGA (ZAD) package.

MSP432E411Y pinout-212-bga.gif Figure 4-1 212-Pin ZAD Package (Top View)

Pin Attributes

Table 4-1 lists GPIO pins with special considerations. Most GPIO pins are configured as GPIOs and are high-impedance by default (GPIOAFSEL = 0, GPIODEN = 0, GPIOPDR = 0, GPIOPUR = 0, and GPIOPCTL = 0). Special consideration pins may be programed to a non-GPIO function or may have special commit controls out of reset. In addition, a POR returns these GPIOs to their original special consideration state.

Table 4-1 GPIO Pins With Special Considerations

GPIO PINS DEFAULT RESET STATE GPIOAFSEL GPIODEN GPIOPDR GPIOPUR GPIOPCTL GPIOCR
PC[3:0] JTAG/SWD 1 1 0 1 0x1 0
PD[7] GPIO(1) 0 0 0 0 0x0 0
PE[7] GPIO(1) 0 0 0 0 0x0 0
This pin is configured as a GPIO by default but is locked and can only be reprogrammed by unlocking the pin in the GPIOLOCK register and uncommitting it by setting the GPIOCR register.

Table 4-2 describes the pin attributes.

Table 4-2 Pin Attributes

PIN NUMBER SIGNAL NAME (1) SIGNAL TYPE (2) BUFFER TYPE (3) PIN MUX ENCODING (4) POWER SOURCE(5) STATE AFTER RESET RELEASE(6)
A1 GND Power N/A N/A
A2 GND Power N/A N/A
A4 PD4 I/O LVCMOS VDD OFF
AIN7 I Analog PD4 N/A
SSI1XDAT2 I/O LVCMOS PD4 (15) N/A
T3CCP0 I/O LVCMOS PD4 (3) N/A
U2Rx I LVCMOS PD4 (1) N/A
A5 PE4 I/O LVCMOS VDD OFF
AIN9 I Analog PE5 N/A
SSI1XDAT0 I/O LVCMOS PE4 (15) N/A
U1RI I LVCMOS PE4 (1) N/A
A7 PE6 I/O LVCMOS VDD OFF
AIN20 I Analog PE6 N/A
I2C9SCL I/O LVCMOS PE6 (2) N/A
U0CTS I LVCMOS PE6 (1) N/A
A8 PP7 I/O LVCMOS VDD OFF
AIN22 I Analog PP7 N/A
OWIRE I/O LVCMOS PP7 (5) N/A
A10 PN4 I/O LVCMOS VDD OFF
EPI0S34 I/O LVCMOS PN4 (15) N/A
I2C2SDA I/O LVCMOS PN4 (3) N/A
U1DTR O LVCMOS PN4 (1) N/A
U3RTS O LVCMOS PN4 (2) N/A
A11 PN2 I/O LVCMOS VDD OFF
EPI0S29 I/O LVCMOS PN2 (15) N/A
U1DCD I LVCMOS PN2 (1) N/A
U2RTS O LVCMOS PN2 (2) N/A
A13 PQ4 I/O LVCMOS VDD OFF
DIVSCLK O LVCMOS PQ4 (13) N/A
U1Rx I LVCMOS PQ4 (1) N/A
A14 PS3 I/O LVCMOS VDD OFF
LCDDATA23 O LVCMOS PS3 (15) N/A
M0FAULT3 I LVCMOS PS3 (6) N/A
T3CCP1 I/O LVCMOS PS3 (3) N/A
A16 PB0 I/O LVCMOS VDD OFF
CAN1Rx I LVCMOS PB0 (7) N/A
I2C5SCL I/O LVCMOS PB0 (2) N/A
T4CCP0 I/O LVCMOS PB0 (3) N/A
U1Rx I LVCMOS PB0 (1) N/A
USB0ID I Analog PB0 N/A
A17 PB2 I/O LVCMOS VDD OFF
EN0MDC O LVCMOS PB2 (5) N/A
EPI0S27 I/O LVCMOS PB2 (15) N/A
I2C0SCL I/O LVCMOS PB2 (2) N/A
T5CCP0 I/O LVCMOS PB2 (3) N/A
USB0STP O LVCMOS PB2 (14) N/A
A18 GND Power Fixed N/A N/A
A19 GND Power Fixed N/A N/A
B1 GND Power Fixed N/A N/A
B2 PD7 I/O LVCMOS VDD OFF
AIN4 I Analog PD7 N/A
NMI I LVCMOS PD7 (8) N/A
SSI2XDAT2 I/O LVCMOS PD7 (15) N/A
T4CCP1 I/O LVCMOS PD7 (3) N/A
U2CTS I LVCMOS PD7 (1) N/A
USB0PFLT I LVCMOS PD7 (5) N/A
B3 PD6 I/O LVCMOS VDD OFF
AIN5 I Analog PD6 N/A
SSI2XDAT3 I/O LVCMOS PD6 (15) N/A
T4CCP0 I/O LVCMOS PD6 (3) N/A
U2RTS O LVCMOS PD6 (1) N/A
USB0EPEN O LVCMOS PD6 (5) N/A
B4 PD5 I/O LVCMOS VDD OFF
AIN6 I Analog PD5 N/A
SSI1XDAT3 I/O LVCMOS PD5 (15) N/A
T3CCP1 I/O LVCMOS PD5 (3) N/A
U2Tx O LVCMOS PD5 (1) N/A
B5 PE5 I/O LVCMOS VDD OFF
AIN8 I Analog PE5 N/A
SSI1XDAT1 I/O LVCMOS PE5 (15) N/A
B6 PB5 I/O LVCMOS VDD OFF
AIN11 I Analog PB5 N/A
I2C5SDA I/O LVCMOS PB5 (2) N/A
SSI1Clk I/O LVCMOS PB5 (15) N/A
U0RTS O LVCMOS PB5 (1) N/A
B7 PE7 I/O LVCMOS VDD OFF
AIN21 I Analog PE7 N/A
I2C9SDA I/O LVCMOS PE7 (2) N/A
NMI I LVCMOS PE7 (8) N/A
U0RTS O LVCMOS PE7 (1) N/A
B8 PP6 I/O LVCMOS VDD OFF
AIN23 I Analog PP6 N/A
I2C2SDA I/O LVCMOS PP6 (2) N/A
U1DCD I LVCMOS PP6 (1) N/A
B9 PN5 I/O LVCMOS VDD OFF
EPI0S35 I/O LVCMOS PN5 (15) N/A
I2C2SCL I/O LVCMOS PN5 (3) N/A
U1RI I LVCMOS PN5 (1) N/A
U3CTS I LVCMOS PN5 (2) N/A
B10 PN3 I/O LVCMOS VDD OFF
EPI0S30 I/O LVCMOS PN3 (15) N/A
U1DSR I LVCMOS PN3 (1) N/A
U2CTS I LVCMOS PN3 (2) N/A
B11 PN1 I/O LVCMOS VDD OFF
U1CTS I LVCMOS PN1 (1) N/A
B12 PP5 I/O LVCMOS VDD OFF
I2C2SCL I/O LVCMOS PP5 (2) N/A
OWALT I/O LVCMOS PP5 (4) N/A
U3CTS I LVCMOS PP5 (1) N/A
USB0D6 I/O LVCMOS PP5 (14) N/A
B13 PP2 I/O LVCMOS VDD OFF
EPI0S29 I/O LVCMOS PP2 (15) N/A
U0DTR O LVCMOS PP2 (1) N/A
USB0NXT O LVCMOS PP2 (14) N/A
B14 PS2 I/O LVCMOS VDD OFF
LCDDATA22 O LVCMOS PS2 (15) N/A
M0FAULT2 I LVCMOS PS2 (6) N/A
T3CCP0 I/O LVCMOS PS2 (3) N/A
U1DSR I LVCMOS PS2 (1) N/A
B15 PC0 I/O LVCMOS VDD N/A
TCLK/SWCLK I LVCMOS PC0 (1) PU
B16 PB1 I/O LVCMOS VDD OFF
CAN1Tx O LVCMOS PB1 (7) N/A
I2C5SDA I/O LVCMOS PB1 (2) N/A
T4CCP1 I/O LVCMOS PB1 (3) N/A
U1Tx O LVCMOS PB1 (1) N/A
USB0VBUS I/O Analog PB1 N/A
B17 PB3 I/O LVCMOS VDD OFF
EN0MDIO I/O LVCMOS PB3 (5) N/A
EPI0S28 I/O LVCMOS PB3 (15) N/A
I2C0SDA I/O LVCMOS PB3 (2) N/A
T5CCP1 I/O LVCMOS PB3 (3) N/A
USB0CLK O LVCMOS PB3 (14) N/A
B18 PL7 I/O LVCMOS VDD OFF
T1CCP1 I/O LVCMOS PL7 (3) N/A
USB0DM I/O Analog PL7 N/A
B19 GND Power N/A N/A
C1 PD1 I/O LVCMOS VDD OFF
AIN14 I Analog PD1 N/A
C1o O LVCMOS PD1 (5) N/A
I2C7SDA I/O LVCMOS PD1 (2) N/A
SSI2XDAT0 I/O LVCMOS PD1 (15) N/A
T0CCP1 I/O LVCMOS PD1 (3) N/A
C2 PD0 I/O LVCMOS VDD OFF
AIN15 I Analog PD0 N/A
C0o O LVCMOS PD0 (5) N/A
I2C7SCL I/O LVCMOS PD0 (2) N/A
SSI2XDAT1 I/O LVCMOS PD0 (15) N/A
T0CCP0 I/O LVCMOS PD0 (3) N/A
C5 NC N/A N/A
C6 PB4 I/O LVCMOS VDD OFF
N/A
AIN10 I Analog PB4 N/A
I2C5SCL I/O LVCMOS PB4 (2) N/A
SSI1Fss I/O LVCMOS PB4 (15) N/A
U0CTS I LVCMOS PB4 (1) N/A
C8 PJ0 I/O LVCMOS VDD OFF
EN0PPS O LVCMOS PJ0 (5) N/A
U3Rx I LVCMOS PJ0 (1) N/A
C10 PN0 I/O LVCMOS VDD OFF
U1RTS O LVCMOS PN0 (1) N/A
C12 PP3 I/O LVCMOS VDD OFF
EPI0S30 I/O LVCMOS PP3 (15) N/A
RTCCLK O LVCMOS PP3 (7) N/A
U0DCD I LVCMOS PP3 (2) N/A
U1CTS I LVCMOS PP3 (1) N/A
USB0DIR O LVCMOS PP3 (14) N/A
C14 PC3 I/O LVCMOS VDD N/A
TDO/SWO O LVCMOS PC3 (1) PU
C15 PC1 I/O LVCMOS VDD N/A
TMS/SWDIO I/O LVCMOS PC1 (1) PU
C18 PL6 I/O LVCMOS VDD OFF
T1CCP0 I/O LVCMOS PL6 (3) N/A
USB0DP I/O Analog PL6 N/A
D1 PD3 I/O LVCMOS VDD OFF
AIN12 I Analog PD3 N/A
I2C8SDA I/O LVCMOS PD3 (2) N/A
SSI2Clk I/O LVCMOS PD3 (15) N/A
T1CCP1 I/O LVCMOS PD3 (3) N/A
D2 PD2 I/O LVCMOS VDD OFF
AIN13 I Analog PD2 N/A
C2o O LVCMOS PD2 (5) N/A
I2C8SCL I/O LVCMOS PD0 (2) N/A
SSI2Fss I/O LVCMOS PD2 (15) N/A
T1CCP0 I/O LVCMOS PD2 (3) N/A
D6 PP0 I/O LVCMOS VDD OFF
C2+ I Analog PP0 N/A
EN0INTRN I LVCMOS PP0 (7) N/A
SSI3XDAT2 I/O LVCMOS PP0 (15) N/A
T6CCP0 I/O LVCMOS PP0 (5) N/A
U6Rx I LVCMOS PP0 (1) N/A
D7 PP1 I/O LVCMOS VDD OFF
C2- I Analog PP1 N/A
SSI3XDAT3 I/O LVCMOS PP1 (15) N/A
T6CCP1 I/O LVCMOS PP1 (5) N/A
U6Tx O LVCMOS PP1 (1) N/A
D8 PP4 I/O LVCMOS VDD OFF
OWIRE I/O LVCMOS PP4 (4) N/A
U0DSR I LVCMOS PP4 (2) N/A
U3RTS O LVCMOS PP4 (1) N/A
USB0D7 I/O LVCMOS PP4 (14) N/A
D12 PS0 I/O LVCMOS VDD OFF
LCDDATA20 O LVCMOS PS0 (15) N/A
M0FAULT0 I LVCMOS PS0 (6) N/A
T2CCP0 I/O LVCMOS PS0 (3) N/A
D13 PS1 I/O LVCMOS VDD OFF
LCDDATA21 O LVCMOS PS1 (15) N/A
M0FAULT1 I LVCMOS PS1 (6) N/A
T2CCP1 I/O LVCMOS PS1 (3) N/A
D14 PC2 I/O LVCMOS VDD N/A
TDI I LVCMOS PC2 (1) PU
D18 GNDX2 Power Fixed N/A N/A
D19 OSC1 O Analog Fixed VDD N/A
E2 PQ1 I/O LVCMOS VDD OFF
EPI0S21 I/O LVCMOS PQ1 (15) N/A
SSI3Fss I/O LVCMOS PQ1 (14) N/A
T6CCP1 I/O LVCMOS PQ1 (3) N/A
E3 PQ0 I/O LVCMOS VDD OFF
EPI0S20 I/O LVCMOS PQ0 (15) N/A
SSI3Clk I/O LVCMOS PQ0 (14) N/A
T6CCP0 I/O LVCMOS PQ0 (3) N/A
E7 PJ1 I/O LVCMOS VDD OFF
U3Tx O LVCMOS PJ1 (1) N/A
E10 VDDC Power Fixed N/A N/A
E13 NC N/A N/A
E17 PJ5 I/O LVCMOS VDD OFF
LCDDATA17 O LVCMOS PJ5 (15) N/A
U3CTS I LVCMOS PJ5 (1) N/A
E18 PT2 I/O LVCMOS VDD OFF
CAN1Rx I LVCMOS PT2 (7) N/A
LCDDATA18 O LVCMOS PT2 (15) N/A
T7CCP0 I/O LVCMOS PT2 (3) N/A
E19 OSC0 I Analog Fixed VDD N/A
F1 PB7 I/O LVCMOS VDD OFF
I2C6SDA I/O LVCMOS PB7 (1) N/A
T6CCP1 I/O LVCMOS PB7 (3) N/A
F2 PB6 I/O LVCMOS VDD OFF
I2C6SCL I/O LVCMOS PB6 (1) N/A
T6CCP0 I/O LVCMOS PB6 (3) N/A
F3 VDDA Power Fixed N/A N/A
F4 VREFA+ Analog Fixed VDD N/A
F10 GND Power Fixed N/A N/A
F16 PJ3 I/O LVCMOS VDD OFF
LCDDATA15 I/O LVCMOS PJ3 (15) N/A
U2CTS I LVCMOS PJ3 (1) N/A
F17 PT3 I/O LVCMOS VDD OFF
CAN1Tx O LVCMOS PT3 (7) N/A
LCDDATA19 O LVCMOS PT3 (15) N/A
T7CCP1 I/O LVCMOS PT3 (3) N/A
F18 PJ4 I/O LVCMOS VDD OFF
LCDDATA16 O LVCMOS PJ4 (15) N/A
U3RTS O LVCMOS PJ4 (1) N/A
G1 PE2 I/O LVCMOS VDD OFF
AIN1 I Analog PE3 N/A
U1DCD I LVCMOS PE1 (1) N/A
G2 PE3 I/O LVCMOS VDD OFF
AIN0 I Analog PE3 N/A
OWIRE I/O LVCMOS PE3 (5) N/A
U1DTR O LVCMOS PE3 (1) N/A
G4 GNDA Power Fixed N/A N/A
G5 VREFA- Analog Fixed VDD N/A
G10 VDD Power Fixed N/A N/A
G15 PM5 I/O LVCMOS VDD OFF
T4CCP1 I/O LVCMOS PM5 (3) N/A
TMPR2 I/O LVCMOS PM5 N/A
U0DCD I LVCMOS PM5 (1) N/A
G16 PL0 I/O LVCMOS VDD OFF
EPI0S16 I/O LVCMOS PL0 (15) N/A
I2C2SDA I/O LVCMOS PL0 (2) N/A
M0FAULT3 I LVCMOS PL0 (6) N/A
USB0D0 I/O LVCMOS PL0 (14) N/A
G18 PL2 I/O LVCMOS VDD OFF
C0o O LVCMOS PL2 (5) N/A
EPI0S18 I/O LVCMOS PL2 (15) N/A
PhB0 I LVCMOS PL2 (6) N/A
USB0D2 I/O LVCMOS PL2 (14) N/A
G19 PL5 I/O LVCMOS VDD OFF
EPI0S33 I/O LVCMOS PL5 (15) N/A
T0CCP1 I/O LVCMOS PL5 (3) N/A
USB0D5 I/O LVCMOS PL5 (14) N/A
H2 PE1 I/O LVCMOS VDD OFF
AIN2 I Analog PE1 N/A
U1DSR I LVCMOS PE1 (1) N/A
H3 PE0 I/O LVCMOS VDD OFF
AIN3 I Analog PE0 N/A
U1RTS O LVCMOS PE0 (1) N/A
H4 PQ2 I/O LVCMOS VDD OFF
EPI0S22 I/O LVCMOS PQ2 (15) N/A
SSI3XDAT0 I/O LVCMOS PQ2 (14) N/A
T7CCP0 I/O LVCMOS PQ2 (3) N/A
H9 VDD Power Fixed N/A N/A
H10 GND Power Fixed N/A N/A
H11 GND Power Fixed N/A N/A
H12 GND Power Fixed N/A N/A
H16 VDDC Power Fixed N/A N/A
H17 PJ2 I/O LVCMOS VDD OFF
LCDDATA14 I/O LVCMOS PJ2 (15) N/A
U2RTS O LVCMOS PJ2 (1) N/A
H18 PL4 I/O LVCMOS VDD OFF
EPI0S26 I/O LVCMOS PL4 (15) N/A
T0CCP0 I/O LVCMOS PL4 (3) N/A
USB0D4 I/O LVCMOS PL4 (14) N/A
H19 PL1 I/O LVCMOS VDD OFF
EPI0S17 I/O LVCMOS PL1 (15) N/A
I2C2SCL I/O LVCMOS PL1 (2) N/A
PhA0 I LVCMOS PL1 (6) N/A
USB0D1 I/O LVCMOS PL1 (14) N/A
J1 PK0 I/O LVCMOS VDD OFF
AIN16 I Analog PK0 N/A
EPI0S0 I/O LVCMOS PK0 (15) N/A
U4Rx I LVCMOS PK0 (1) N/A
J2 PK1 I/O LVCMOS VDD OFF
AIN17 I Analog PK1 N/A
EPI0S1 I/O LVCMOS PK1 (15) N/A
U4Tx O LVCMOS PK1 (1) N/A
J8 VDD Power Fixed N/A N/A
J9 VDD Power Fixed N/A N/A
J10 VDD Power Fixed N/A N/A
J11 GND Power Fixed N/A N/A
J12 GND Power Fixed N/A N/A
J18 PL3 I/O LVCMOS VDD OFF
C1o O LVCMOS PL3 (5) N/A
EPI0S19 I/O LVCMOS PL3 (15) N/A
IDX0 I LVCMOS PL3 (6) N/A
USB0D3 I/O LVCMOS PL3 (14) N/A
K1 PK2 I/O LVCMOS VDD OFF
AIN18 I Analog PK2 N/A
EPI0S2 I/O LVCMOS PK2 (15) N/A
U4RTS O LVCMOS PK2 (1) N/A
K2 PK3 I/O LVCMOS VDD OFF
AIN19 I Analog PK3 N/A
EPI0S3 I/O LVCMOS PK3 (15) N/A
U4CTS I LVCMOS PK3 (1) N/A
K3 PC7 I/O LVCMOS VDD OFF
C0- I Analog PC7 N/A
EPI0S4 I/O LVCMOS PC7 (15) N/A
U5Tx O LVCMOS PC7 (1) N/A
K5 PJ7 I/O LVCMOS VDD OFF
U4CTS I LVCMOS PK3 (1) N/A
K6 GND Power Fixed N/A N/A
K7 VDD Power Fixed N/A N/A
K8 VDD Power Fixed N/A N/A
K9 GND Power Fixed N/A N/A
K10 GND Power Fixed N/A N/A
K11 VDD Power Fixed N/A N/A
K12 VDD Power Fixed N/A N/A
K13 GND Power Fixed N/A N/A
K14 GND Power Fixed N/A N/A
K15 PG5 I/O LVCMOS VDD OFF
EN0TXD1 O LVCMOS PG5 (14) N/A
I2C3SDA I/O LVCMOS PG5 (2) N/A
OWALT I/O LVCMOS PG5 (5) N/A
SSI2XDAT0 I/O LVCMOS PG5 (15) N/A
U0RTS O LVCMOS PG5 (1) N/A
K17 PG4 I/O LVCMOS VDD OFF
EN0TXD0 O LVCMOS PG4 (14) N/A
I2C3SCL I/O LVCMOS PG4 (2) N/A
OWIRE I/O LVCMOS PG4 (5) N/A
SSI2XDAT1 I/O LVCMOS PG4 (15) N/A
U0CTS I LVCMOS PG4 (1) N/A
K18 PM0 I/O LVCMOS VDD OFF
EPI0S15 I/O LVCMOS PM0 (15) N/A
T2CCP0 I/O LVCMOS PM0 (3) N/A
K19 PM1 I/O LVCMOS VDD OFF
EPI0S14 I/O LVCMOS PM1 (15) N/A
T2CCP1 I/O LVCMOS PM1 (3) N/A
L2 PC6 I/O LVCMOS VDD OFF
C0+ I Analog PC6 N/A
EPI0S5 I/O LVCMOS PC6 (15) N/A
U5Rx I LVCMOS PC6 (1) N/A
L8 GND Power Fixed N/A N/A
L9 GND Power Fixed N/A N/A
L10 VDD Power Fixed N/A N/A
L11 VDD Power Fixed N/A N/A
L12 VDD Power Fixed N/A N/A
L18 PM2 I/O LVCMOS VDD OFF
EPI0S13 I/O LVCMOS PM2 (15) N/A
T3CCP0 I/O LVCMOS PM2 (3) N/A
L19 PM3 I/O LVCMOS VDD OFF
EPI0S12 I/O LVCMOS PM3 (15) N/A
T3CCP1 I/O LVCMOS PM3 (3) N/A
M1 PC5 I/O LVCMOS VDD OFF
C1+ I Analog PC5 N/A
EPI0S6 I/O LVCMOS PC5 (15) N/A
RTCCLK O LVCMOS PC5 (7) N/A
T7CCP1 I/O LVCMOS PC5 (3) N/A
U7Tx O LVCMOS PC5 (1) N/A
M2 PC4 I/O LVCMOS VDD OFF
C1- I Analog PC4 N/A
EPI0S7 I/O LVCMOS PC4 (15) N/A
T7CCP0 I/O LVCMOS PC4 (3) N/A
U7Rx I LVCMOS PC4 (1) N/A
M3 PQ7 I/O LVCMOS VDD OFF
U1RI I LVCMOS PQ7 (1) N/A
M4 PQ3 I/O LVCMOS VDD OFF
EPI0S23 I/O LVCMOS PQ3 (15) N/A
SSI3XDAT1 I/O LVCMOS PQ3 (14) N/A
T7CCP1 I/O LVCMOS PQ3 (3) N/A
M8 GND Power Fixed N/A N/A
M9 GND Power Fixed N/A N/A
M10 GND Power Fixed N/A N/A
M11 VDD Power Fixed N/A N/A
M12 VDD Power Fixed N/A N/A
M16 PG3 I/O LVCMOS VDD OFF
EN0TXEN O LVCMOS PG3 (14) N/A
I2C2SDA I/O LVCMOS PG3 (2) N/A
SSI2XDAT2 I/O LVCMOS PG3 (15) N/A
M17 HIB O LVCMOS Fixed VBAT OFF
M18 PM4 I/O LVCMOS VDD OFF
EN0RREF_CLK I/O LVCMOS PM4 (14) N/A
T4CCP0 I/O LVCMOS PM4 (3) N/A
TMPR3 I/O LVCMOS PM4 N/A
U0CTS I LVCMOS PM4 (1) N/A
N1 PJ6 I/O LVCMOS VDD OFF
LCDAC O LVCMOS PJ6 (15) N/A
U4RTS O LVCMOS PJ6 (1) N/A
N2 PR2 I/O LVCMOS VDD OFF
I2C2SCL I/O LVCMOS PR2 (2) N/A
LCDLP O LVCMOS PR2 (15) N/A
M0PWM2 O LVCMOS PR2 (6) N/A
N4 PR1 I/O LVCMOS VDD OFF
I2C1SDA I/O LVCMOS PR1 (2) N/A
LCDFP O LVCMOS PR1 (15) N/A
M0PWM1 O LVCMOS PR1 (6) N/A
U4Rx I LVCMOS PR1 (1) N/A
N5 PR0 I/O LVCMOS VDD OFF
I2C1SCL I/O LVCMOS PR0 (2) N/A
LCDCP O LVCMOS PR0 (15) N/A
M0PWM0 O LVCMOS PR0 (6) N/A
U4Tx O LVCMOS PR0 (1) N/A
N10 GND Power Fixed N/A N/A
N15 PG0 I/O LVCMOS VDD OFF
EN0PPS O LVCMOS PG0 (5) N/A
EPI0S11 I/O LVCMOS PG0 (15) N/A
I2C1SCL I/O LVCMOS PG0 (2) N/A
M0PWM4 O LVCMOS PG0 (6) N/A
N16 VDD Power Fixed N/A N/A
N18 PM7 I/O LVCMOS VDD OFF
EN0COL I LVCMOS PM6 (14) N/A
T5CCP1 I/O LVCMOS PM7 (3) N/A
TMPR0 I/O LVCMOS PM7 N/A
U0RI I LVCMOS PM7 (1) N/A
N19 PM6 I/O LVCMOS VDD OFF
EN0CRS I LVCMOS PM6 (14) N/A
T5CCP0 I/O LVCMOS PM6 (3) N/A
TMPR1 I/O LVCMOS PM6 N/A
U0DSR I LVCMOS PM6 (1) N/A
P2 PR5 I/O LVCMOS VDD OFF
I2C3SDA I/O LVCMOS PR5 (2) N/A
LCDDATA01 I/O LVCMOS PR5 (15) N/A
M0PWM5 O LVCMOS PR5 (6) N/A
T0CCP1 I/O LVCMOS PR5 (3) N/A
U1Rx I LVCMOS PR5 (1) N/A
P3 PR4 I/O LVCMOS VDD OFF
I2C3SCL I/O LVCMOS PR4 (2) N/A
LCDDATA00 I/O LVCMOS PR4 (15) N/A
M0PWM4 O LVCMOS PR4 (6) N/A
T0CCP0 I/O LVCMOS PR4 (3) N/A
P4 PH0 I/O LVCMOS VDD OFF
EPI0S0 I/O LVCMOS PH0 (15) N/A
U0RTS O LVCMOS PH0 (1) N/A
P10 VDD Power Fixed N/A N/A
P16 GND Power Fixed N/A N/A
P17 VDD Power Fixed N/A N/A
P18 RST I LVCMOS Fixed VDD OFF
P19 VBAT Power Fixed N/A N/A
R1 PH2 I/O LVCMOS VDD OFF
EPI0S2 I/O LVCMOS PH2 (15) N/A
U0DCD I LVCMOS PH2 (1) N/A
R2 PH1 I/O LVCMOS VDD OFF
EPI0S1 I/O LVCMOS PH1 (15) N/A
U0CTS I LVCMOS PH1 (1) N/A
R3 PH4 I/O LVCMOS VDD OFF
U0DTR O LVCMOS PH4 (1) N/A
R7 PA7 I/O LVCMOS VDD OFF
EPI0S9 I/O LVCMOS PA7 (15) N/A
I2C6SDA I/O LVCMOS PA7 (2) N/A
SSI0XDAT3 I/O LVCMOS PA7 (13) N/A
T3CCP1 I/O LVCMOS PA7 (3) N/A
U2Tx O LVCMOS PA7 (1) N/A
USB0EPEN O LVCMOS PA7 (11) N/A
USB0PFLT I LVCMOS PA7 (5) N/A
R10 PR7 I/O LVCMOS VDD OFF
EN0TXEN O LVCMOS PR7 (14) N/A
I2C4SDA I/O LVCMOS PR7 (2) N/A
LCDDATA05 I/O LVCMOS PR7 (15) N/A
M0PWM7 O LVCMOS PR7 (6) N/A
T1CCP1 I/O LVCMOS PR7 (3) N/A
R13 PS7 I/O LVCMOS VDD OFF
EN0RXDV I LVCMOS PS7 (14) N/A
LCDDATA09 I/O LVCMOS PS7 (15) N/A
T5CCP1 I/O LVCMOS PS7 (3) N/A
R17 GND Power Fixed N/A N/A
R18 GNDX Power Fixed N/A N/A
T1 PH3 I/O LVCMOS VDD OFF
EPI0S3 I/O LVCMOS PH3 (15) N/A
U0DSR I LVCMOS PH3 (1) N/A
T2 PH5 I/O LVCMOS VDD OFF
EN0PPS O LVCMOS PH5 (15) N/A
U0RI I LVCMOS PH5 (1) N/A
T6 PA2 I/O LVCMOS VDD OFF
I2C8SCL I/O LVCMOS PA2 (2) N/A
SSI0Clk I/O LVCMOS PA2 (15) N/A
T1CCP0 I/O LVCMOS PA2 (3) N/A
U4Rx I LVCMOS PA2 (1) N/A
T7 PF3 I/O LVCMOS VDD OFF
EN0MDIO I/O LVCMOS PF3 (5) N/A
M0PWM3 O LVCMOS PF3 (6) N/A
SSI3Clk I/O LVCMOS PF3 (14) N/A
TRCLK O LVCMOS PF3 (15) N/A
T8 PF6 I/O LVCMOS VDD OFF
LCDMCLK O LVCMOS PF6 (15) N/A
T12 PN6 I/O LVCMOS VDD OFF
EN0TXER O LVCMOS PN6 (14) N/A
LCDDATA13 I/O LVCMOS PN6 (15) N/A
U4RTS O LVCMOS PN6 (2) N/A
T13 PS5 I/O LVCMOS VDD OFF
EN0TXD1 O LVCMOS PS5 (14) N/A
LCDDATA07 I/O LVCMOS PS5 (15) N/A
PhB0 I LVCMOS PS5 (6) N/A
T4CCP1 I/O LVCMOS PS5 (3) N/A
G14 PG1 I/O LVCMOS VDD OFF
EPI0S10 I/O LVCMOS PG1 (15) N/A
I2C1SDA I/O LVCMOS PG1 (2) N/A
M0PWM5 O LVCMOS PG1 (6) N/A
T18 XOSC0 I Analog Fixed VBAT N/A
T19 XOSC1 O Analog Fixed VBAT N/A
U2 PH6 I/O LVCMOS VDD OFF
U5Rx I LVCMOS PH6 (1) N/A
U7Rx I LVCMOS PH6 (2) N/A
U5 PA3 I/O LVCMOS VDD OFF
I2C8SDA I/O LVCMOS PA3 (2) N/A
SSI0Fss I/O LVCMOS PA3 (15) N/A
T1CCP1 I/O LVCMOS PA3 (2) N/A
U4Tx O LVCMOS PA3 (1) N/A
U6 PF0 I/O LVCMOS VDD OFF
EN0LED0 O LVCMOS PF0 (5) N/A
M0PWM0 O LVCMOS PF0 (6) N/A
SSI3XDAT1 I/O LVCMOS PF0 (14) N/A
TRD2 O LVCMOS PF0 (15) N/A
U8 PF7 I/O LVCMOS VDD OFF
LCDDATA02 I/O LVCMOS PF7 (15) N/A
U10 PS6 I/O LVCMOS VDD OFF
EN0RXER I LVCMOS PS6 (14) N/A
IDX0 I LVCMOS PS6 (6) N/A
LCDDATA08 I/O LVCMOS PS6 (15) N/A
T5CCP0 I/O LVCMOS PS6 (3) N/A
U12 PN7 I/O LVCMOS VDD OFF
LCDDATA12 I/O LVCMOS PN7 (15) N/A
U1RTS O LVCMOS PN7 (1) N/A
U4CTS I LVCMOS PN7 (2) N/A
U14 PG7 I/O LVCMOS VDD OFF
EN0RXDV I LVCMOS PG7 (14) N/A
I2C4SDA I/O LVCMOS PG7 (2) N/A
OWIRE I/O LVCMOS PG7 (5) N/A
SSI2Clk I/O LVCMOS PG7 (15) N/A
U15 PQ6 I/O LVCMOS VDD OFF
EN0RXD1 I LVCMOS PQ6 (14) N/A
U1DTR O LVCMOS PQ6 (1) N/A
U18 WAKE I LVCMOS Fixed VBAT OFF
U19 PK4 I/O LVCMOS VDD OFF
EN0INTRN I LVCMOS PK4 (7) N/A
EN0LED0 O LVCMOS PK4 (5) N/A
EN0RXD3 I LVCMOS PK4 (14) N/A
EPI0S32 I/O LVCMOS PK4 (15) N/A
I2C3SCL I/O LVCMOS PK4 (2) N/A
M0PWM6 O LVCMOS PR6 (6) N/A
V1 GND Power Fixed N/A N/A
V2 PH7 I/O LVCMOS VDD OFF
U5Tx O LVCMOS PH7 (1) N/A
U7Tx O LVCMOS PH7 (2) N/A
V3 PA0 I/O LVCMOS VDD OFF
CAN0Rx I LVCMOS PA0 (7) N/A
I2C9SCL I/O LVCMOS PA0 (2) N/A
T0CCP0 I/O LVCMOS PA0 (3) N/A
U0Rx I LVCMOS PA0 (1) N/A
V4 PA4 I/O LVCMOS VDD OFF
I2C7SCL I/O LVCMOS PA4 (2) N/A
SSI0XDAT0 I/O LVCMOS PA4 (15) N/A
T2CCP0 I/O LVCMOS PA4 (3) N/A
U3Rx I LVCMOS PA4 (1) N/A
V5 PA6 I/O LVCMOS VDD OFF
EN0RXCK I LVCMOS PA6 (14) N/A
EPI0S8 I/O LVCMOS PA6 (15) N/A
I2C6SCL I/O LVCMOS PA6 (2) N/A
SSI0XDAT2 I/O LVCMOS PA6 (13) N/A
T3CCP0 I/O LVCMOS PA6 (3) N/A
U2Rx I LVCMOS PA6 (1) N/A
USB0EPEN O LVCMOS PA6 (5) N/A
V6 PF1 I/O LVCMOS VDD OFF
EN0LED2 O LVCMOS PF1 (5) N/A
M0PWM1 O LVCMOS PF1 (6) N/A
SSI3XDAT0 I/O LVCMOS PF1 (14) N/A
TRD1 O LVCMOS PF1 (15) N/A
V7 PF4 I/O LVCMOS VDD OFF
EN0LED1 O LVCMOS PF4 (5) N/A
M0FAULT0 I LVCMOS PF4 (6) N/A
SSI3XDAT2 I/O LVCMOS PF4 (14) N/A
TRD3 O LVCMOS PF4 (15) N/A
V8 PR3 I/O LVCMOS VDD OFF
I2C2SDA I/O LVCMOS PR3 (2) N/A
LCDDATA03 I/O LVCMOS PR3 (15) N/A
M0PWM3 O LVCMOS PR3 (6) N/A
V9 PS4 I/O LVCMOS VDD OFF
EN0TXD0 O LVCMOS PS4 (14) N/A
LCDDATA06 I/O LVCMOS PS4 (15) N/A
PhA0 I LVCMOS PS4 (6) N/A
T4CCP0 I/O LVCMOS PS4 (3) N/A
V10 PT1 I/O LVCMOS VDD OFF
CAN0Tx O LVCMOS PT1 (7) N/A
EN0RXD1 I LVCMOS PT1 (14) N/A
LCDDATA11 I/O LVCMOS PT1 (15) N/A
T6CCP1 I/O LVCMOS PT1 (3) N/A
V11 PG2 I/O LVCMOS VDD OFF
EN0TXCK I LVCMOS PG2 (14) N/A
I2C2SCL I/O LVCMOS PG2 (2) N/A
SSI2XDAT3 I/O LVCMOS PG2 (15) N/A
V12 PG6 I/O LVCMOS VDD OFF
EN0RXER I LVCMOS PG6 (14) N/A
I2C4SCL I/O LVCMOS PG6 (2) N/A
OWIRE I/O LVCMOS PG6 (5) N/A
SSI2Fss I/O LVCMOS PG6 (15) N/A
V13 EN0RXIN I/O LVCMOS Fixed VDD OFF
V14 EN0TXON I/O LVCMOS Fixed VDD OFF
V15 EN0TXOP I/O LVCMOS Fixed VDD OFF
V16 PK6 I/O LVCMOS VDD OFF
EN0LED1 O LVCMOS PK6 (5) N/A
EN0TXD2 O LVCMOS PK6 (14) N/A
EPI0S25 I/O LVCMOS PK6 (15) N/A
I2C4SCL I/O LVCMOS PK6 (2) N/A
M0FAULT1 I LVCMOS PK6 (6) N/A
V17 PK5 I/O LVCMOS VDD OFF
EN0LED2 O LVCMOS PK5 (5) N/A
EN0RXD2 I LVCMOS PK5 (14) N/A
EPI0S31 I/O LVCMOS PK5 (15) N/A
I2C3SDA I/O LVCMOS PK5 (2) N/A
M0PWM7 O LVCMOS PK5 (6) N/A
V18 NC N/A N/A
V19 NC N/A N/A
W1 GND Power Fixed N/A N/A
W2 GND Power Fixed N/A N/A
W3 PA1 I/O LVCMOS VDD OFF
CAN0Tx O LVCMOS PA1 (7) N/A
I2C9SDA I/O LVCMOS PA1 (2) N/A
T0CCP1 I/O LVCMOS PA1 (3) N/A
U0Tx O LVCMOS PA1 (1) N/A
W4 PA5 I/O LVCMOS VDD OFF
I2C7SDA I/O LVCMOS PA5 (2) N/A
SSI0XDAT1 I/O LVCMOS PA5 (15) N/A
T2CCP1 I/O LVCMOS PA5 (3) N/A
U3Tx O LVCMOS PA5 (1) N/A
W6 PF2 I/O LVCMOS VDD OFF
EN0MDC O LVCMOS PF2 (5) N/A
M0PWM2 O LVCMOS PF2 (6) N/A
SSI3Fss I/O LVCMOS PF2 (14) N/A
TRD0 O LVCMOS PF2 (15) N/A
W7 PF5 I/O LVCMOS VDD OFF
SSI3XDAT3 I/O LVCMOS PF5 (14) N/A
W9 PR6 I/O LVCMOS VDD OFF
I2C4SCL I/O LVCMOS PR6 (2) N/A
LCDDATA04 I/O LVCMOS PR6 (15) N/A
M0PWM6 O LVCMOS PR6 (6) N/A
T1CCP0 I/O LVCMOS PR6 (3) N/A
U1Tx O LVCMOS PR6 (1) N/A
W10 PT0 I/O LVCMOS VDD OFF
CAN0Rx I LVCMOS PT0 (7) N/A
EN0RXD0 I LVCMOS PT0 (14) N/A
LCDDATA10 I/O LVCMOS PT0 (15) N/A
T6CCP0 I/O LVCMOS PT0 (3) N/A
W12 PQ5 I/O LVCMOS VDD OFF
EN0RXD0 I LVCMOS PQ5 (14) N/A
U1Tx O LVCMOS PQ5 (1) N/A
W13 EN0RXIP I/O LVCMOS Fixed VDD N/A
W15 RBIAS O Analog Fixed VDD N/A
W16 PK7 I/O LVCMOS VDD OFF
EN0TXD3 O LVCMOS PK7 (14) N/A
EPI0S24 I/O LVCMOS PK7 (15) N/A
I2C4SDA I/O LVCMOS PK7 (2) N/A
M0FAULT2 I LVCMOS PK7 (6) N/A
RTCCLK O LVCMOS PK7 (5) N/A
U0RI I LVCMOS PK7 (1) N/A
W18 NC N/A N/A
W19 NC N/A N/A
Signals names with (PN) denote the default pin name.
Signal Types: I = Input, O = Output, I/O = Input or Output.
For details on buffer types, see Table 4-5.
Pin mux encodings with (RD) denote the default encoding after reset release.
N/A = Not applicable
State after reset release: PU = High impedance with an active pullup resistor, OFF = High impedance, N/A = not applicable

Signal Descriptions

Table 4-3 describes the signals. The signals are sorted by function.

Table 4-3 Signal Descriptions

FUNCTION SIGNAL NAME PIN NUMBER PIN TYPE DESCRIPTION
1-Wire OWALT K15 I/O 1-Wire optional second signal to be used as output
B12
OWIRE G2 I/O 1-Wire single bus pin. This signal is input only if 1-Wire alternate output is enabled
K17
V12
U14
D8
A8
ADC AIN0 I Analog-to-digital converter input 0
AIN1 Analog-to-digital converter input 1
AIN2 Analog-to-digital converter input 2
AIN3 Analog-to-digital converter input 3
AIN4 Analog-to-digital converter input 4
AIN5 Analog-to-digital converter input 5
AIN6 Analog-to-digital converter input 6
AIN7 Analog-to-digital converter input 7
AIN8 Analog-to-digital converter input 8
AIN9 Analog-to-digital converter input 9
AIN10 Analog-to-digital converter input 10
AIN11 Analog-to-digital converter input 11
AIN12 Analog-to-digital converter input 12
AIN13 Analog-to-digital converter input 13
AIN14 Analog-to-digital converter input 14
AIN15 Analog-to-digital converter input 15
AIN16 Analog-to-digital converter input 16
AIN17 Analog-to-digital converter input 17
AIN18 Analog-to-digital converter input 18
AIN19 Analog-to-digital converter input 19
AIN20 Analog-to-digital converter input 20
AIN21 Analog-to-digital converter input 21
AIN22 Analog-to-digital converter input 22
AIN23 Analog-to-digital converter input 23
VREFA+ A reference voltage used to specify the voltage at which the ADC converts to a maximum value. This pin is used in conjunction with VREFA-, which specifies the minimum value. The voltage that is applied to VREFA+ is the voltage with which an AINn signal is converted to 4095. The VREFA+ voltage is limited to the range specified in Table 5-33.
VREFA- A reference voltage used to specify the input voltage at which the ADC converts to a minimum value. This pin is used in conjunction with VREFA+, which specifies the maximum value. In other words, the voltage that is applied to VREFA- is the voltage with which an AINn signal is converted to 0, while the voltage that is applied to VREFA+ is the voltage with which an AINn signal is converted to 4095. The VREFA- voltage is limited to the range specified in Table 5-33.
Analog Comparators C0+ L2 I Analog comparator 0 positive input
C0- K3 I Analog comparator 0 negative input
C0o C2 O Analog comparator 0 output
G18
C1+ M1 I Analog comparator 1 positive input
C1- M2 I Analog comparator 1 negative input
C1o C1 O Analog comparator 1 output
J18
C2+ D6 I Analog comparator 2 positive input
C2- D7 I Analog comparator 2 negative input
C2o D2 O Analog comparator 2 output
Controller Area Network CAN0Rx V3 I CAN module 0 receive
W10
CAN0Tx W3 O CAN module 0 transmit
V10
CAN1Rx A16 I CAN module 1 receive
E18
CAN1Tx B16 O CAN module 1 transmit
F17
Core TRCLK T7 O Trace clock
TRD0 W6 Trace data 0
TRD1 V6 Trace data 1
TRD2 U6 Trace data 2
TRD3 V7 Trace data 3
Ethernet EN0COL N18 I Ethernet 0 collision detect
EN0CRS N19 I Ethernet 0 carrier sense
EN0INTRN U19 I Ethernet 0 interrupt from the Ethernet PHY
D6
EN0LED0 U6 O Ethernet 0 LED 0
U19
EN0LED1 V7 O Ethernet 0 LED 1
V16
EN0LED2 V6 O Ethernet 0 LED 2
V17
EN0MDC A17 O Ethernet 0 management data clock
W6
EN0MDIO B17 I/O Ethernet 0 management data input/output signal
T7
EN0PPS N15 O Ethernet 0 pulse-per-second (PPS) output
T2
C8
EN0RREF_CLK M18 I/O Ethernet 0 reference clock
EN0RXCK V5 I Ethernet 0 receive clock
EN0RXD0 W12 I Ethernet 0 receive data 0
W10
EN0RXD1 U15 I Ethernet 0 receive data 1
V10
EN0RXD2 V17 I Ethernet 0 receive data 2
EN0RXD3 U19 I Ethernet 0 receive data 3
EN0RXDV U14 I Ethernet 0 receive data valid
R13
EN0RXER V12 I Ethernet 0 receive error
U10
EN0RXIN V13 I/O Ethernet PHY negative receive differential input
EN0RXIP W13 I/O Ethernet PHY positive receive differential input
EN0TXCK V11 I/O Ethernet 0 transmit clock
EN0TXD0 K17 O Ethernet 0 transmit data 0
V9
EN0TXD1 K15 O Ethernet 0 transmit data 1
T13
EN0TXD2 V16 O Ethernet 0 transmit data 2
EN0TXD3 W16 O Ethernet 0 transmit data 3
EN0TXEN M16 O Ethernet 0 transmit enable
R10
EN0TXER T12 O Ethernet 0 transmit error
EN0TXON V14 I/O Ethernet PHY negative transmit differential output
EN0TXOP V15 I/O Ethernet PHY positive transmit differential output
RBIAS W15 O 4.87-kΩ resistor (1% precision) for Ethernet PHY
External Peripheral Interface EPI0S0 P4 I/O EPI module 0 signal 0
J1
EPI0S1 R2 I/O EPI module 0 signal 1
J2
EPI0S2 R1 I/O EPI module 0 signal 2
K1
EPI0S3 T1 I/O EPI module 0 signal 3
K2
EPI0S4 K3 I/O EPI module 0 signal 4
EPI0S5 L2 I/O EPI module 0 signal 5
EPI0S6 M1 I/O EPI module 0 signal 6
EPI0S7 M2 I/O EPI module 0 signal 7
EPI0S8 V5 I/O EPI module 0 signal 8
EPI0S9 R7 I/O EPI module 0 signal 9
EPI0S10 T14 I/O EPI module 0 signal 10
EPI0S11 N15 I/O EPI module 0 signal 11
EPI0S12 L19 I/O EPI module 0 signal 12
EPI0S13 L18 I/O EPI module 0 signal 13
EPI0S14 K19 I/O EPI module 0 signal 14
EPI0S15 K18 I/O EPI module 0 signal 15
EPI0S16 G16 I/O EPI module 0 signal 16
EPI0S17 H19 I/O EPI module 0 signal 17
EPI0S18 G18 I/O EPI module 0 signal 18
EPI0S19 J18 I/O EPI module 0 signal 19
EPI0S20 E3 I/O EPI module 0 signal 20
EPI0S21 E2 I/O EPI module 0 signal 21
EPI0S22 H4 I/O EPI module 0 signal 22
EPI0S23 M4 I/O EPI module 0 signal 23
EPI0S24 W16 I/O EPI module 0 signal 24
EPI0S25 V16 I/O EPI module 0 signal 25
EPI0S26 H18 I/O EPI module 0 signal 26
EPI0S27 A17 I/O EPI module 0 signal 27
EPI0S28 B17 I/O EPI module 0 signal 28
EPI0S29 A11 I/O EPI module 0 signal 29
B13
EPI0S30 B10 I/O EPI module 0 signal 30
C12
EPI0S31 V17 I/O EPI module 0 signal 31
EPI0S32 U19 I/O EPI module 0 signal 32
EPI0S33 G19 I/O EPI module 0 signal 33
EPI0S34 A10 I/O EPI module 0 signal 34
EPI0S35 B9 I/O EPI module 0 signal 35
General-Purpose Timers T0CCP0 V3 I/O 16- and 32-bit Timer 0 capture, compare, or PWM 0
C2
H18
P3
T0CCP1 W3 I/O 16- and 32-bit Timer 0 capture, compare, or PWM 1
C1
G19
P2
T1CCP0 T6 I/O 16- and 32-bit Timer 1 capture, compare, or PWM 0
D2
C18
W9
T1CCP1 U5 I/O 16- and 32-bit Timer 1 capture, compare, or PWM 1
D1
B18
R10
T2CCP0 V4 I/O 16- and 32-bit Timer 2 capture, compare, or PWM 0
K18
D12
T2CCP1 W4 I/O 16- and 32-bit Timer 2 capture, compare, or PWM 1
K19
D13
T3CCP0 V5 I/O 16- and 32-bit Timer 3 capture, compare, or PWM 0
A4
L18
B14
T3CCP1 R7 I/O 16- and 32-bit Timer 3 capture, compare, or PWM 1
B4
L19
A14
T4CCP0 A16 I/O 16- and 32-bit Timer 4 capture, compare, or PWM 0
B3
M18
V9
T4CCP1 B16 I/O 16- and 32-bit Timer 4 capture, compare, or PWM 1
B2
G15
T13
General-Purpose Timers (continued) T5CCP0 A17 I/O 16- and 32-bit Timer 5 capture, compare, or PWM 0
N19
U10
T5CCP1 B17 I/O 16- and 32-bit Timer 5 capture, compare, or PWM 1
N18
R13
T6CCP0 F2 I/O 16- and 32-bit Timer 6 capture, compare, or PWM 0
D6
E3
W10
T6CCP1 F1 I/O 16- and 32-bit Timer 6 capture, compare, or PWM 1
D7
E2
V10
T7CCP0 M2 I/O 16- and 32-bit Timer 7 capture, compare, or PWM 0
H4
E18
T7CCP1 M1 I/O 16- and 32-bit Timer 7 capture, compare, or PWM 1
M4
F17
GPIO, Port A PA0 V3 I/O GPIO port A bit 0
PA1 W3 I/O GPIO port A bit 1
PA2 T6 I/O GPIO port A bit 2
PA3 U5 I/O GPIO port A bit 3
PA4 V4 I/O GPIO port A bit 4
PA5 W4 I/O GPIO port A bit 5
PA6 V5 I/O GPIO port A bit 6
PA7 R7 I/O GPIO port A bit 7
GPIO, Port B PB0 A16 I/O GPIO port B bit 0
PB1 B16 I/O GPIO port B bit 1
PB2 A17 I/O GPIO port B bit 2
PB3 B17 I/O GPIO port B bit 3
PB4 C6 I/O GPIO port B bit 4
PB5 B6 I/O GPIO port B bit 5
PB6 F2 I/O GPIO port B bit 6
PB7 F1 I/O GPIO port B bit 7
GPIO, Port C PC0 B15 I/O GPIO port C bit 0
PC1 C15 I/O GPIO port C bit 1
PC2 D14 I/O GPIO port C bit 2
PC3 C14 I/O GPIO port C bit 3
PC4 M2 I/O GPIO port C bit 4
PC5 M1 I/O GPIO port C bit 5
PC6 L2 I/O GPIO port C bit 6
PC7 K3 I/O GPIO port C bit 7
GPIO, Port D PD0 C2 I/O GPIO port D bit 0
PD1 C1 I/O GPIO port D bit 1
PD2 D2 I/O GPIO port D bit 2
PD3 D1 I/O GPIO port D bit 3
PD4 A4 I/O GPIO port D bit 4
PD5 B4 I/O GPIO port D bit 5
PD6 B3 I/O GPIO port D bit 6
PD7 B2 I/O GPIO port D bit 7
GPIO, Port E PE0 H3 I/O GPIO port E bit 0
PE1 H2 I/O GPIO port E bit 1
PE2 G1 I/O GPIO port E bit 2
PE3 G2 I/O GPIO port E bit 3
PE4 A5 I/O GPIO port E bit 4
PE5 B5 I/O GPIO port E bit 5
PE6 A7 I/O GPIO port E bit 6
PE7 B7 I/O GPIO port E bit 7
GPIO, Port F PF0 U6 I/O GPIO port F bit 0
PF1 V6 I/O GPIO port F bit 1
PF2 W6 I/O GPIO port F bit 2
PF3 T7 I/O GPIO port F bit 3
PF4 V7 I/O GPIO port F bit 4
PF5 W7 I/O GPIO port F bit 5
PF6 T8 I/O GPIO port F bit 6
PF7 U8 I/O GPIO port F bit 7
GPIO, Port G PG0 N15 I/O GPIO port G bit 0
PG1 T14 I/O GPIO port G bit 1
PG2 V11 I/O GPIO port G bit 2
PG3 M16 I/O GPIO port G bit 3
PG4 K17 I/O GPIO port G bit 4
PG5 K15 I/O GPIO port G bit 5
PG6 V12 I/O GPIO port G bit 6
PG7 U14 I/O GPIO port G bit 7
GPIO, Port H PH0 P4 I/O GPIO port H bit 0
PH1 R2 I/O GPIO port H bit 1
PH2 R1 I/O GPIO port H bit 2
PH3 T1 I/O GPIO port H bit 3
PH4 R3 I/O GPIO port H bit 4
PH5 T2 I/O GPIO port H bit 5
PH6 U2 I/O GPIO port H bit 6
PH7 V2 I/O GPIO port H bit 7
GPIO, Port J PJ0 C8 I/O GPIO port J bit 0
PJ1 E7 I/O GPIO port J bit 1
PJ2 H17 I/O GPIO port J bit 2
PJ3 F16 I/O GPIO port J bit 3
PJ4 F18 I/O GPIO port J bit 4
PJ5 E17 I/O GPIO port J bit 5
PJ6 N1 I/O GPIO port J bit 6
PJ7 K5 I/O GPIO port J bit 7
GPIO, Port K PK0 J1 I/O GPIO port K bit 0
PK1 J2 I/O GPIO port K bit 1
PK2 K1 I/O GPIO port K bit 2
PK3 K2 I/O GPIO port K bit 3
PK4 U19 I/O GPIO port K bit 4
PK5 V17 I/O GPIO port K bit 5
PK6 V16 I/O GPIO port K bit 6
PK7 W16 I/O GPIO port K bit 7
GPIO, Port L PL0 G16 I/O GPIO port L bit 0
PL1 H19 I/O GPIO port L bit 1
PL2 G18 I/O GPIO port L bit 2
PL3 J18 I/O GPIO port L bit 3
PL4 H18 I/O GPIO port L bit 4
PL5 G19 I/O GPIO port L bit 5
PL6 C18 I/O GPIO port L bit 6
PL7 B18 I/O GPIO port L bit 7
GPIO, Port M PM0 K18 I/O GPIO port M bit 0
PM1 K19 I/O GPIO port M bit 1
PM2 L18 I/O GPIO port M bit 2
PM3 L19 I/O GPIO port M bit 3
PM4 M18 I/O GPIO port M bit 4
PM5 G15 I/O GPIO port M bit 5
PM6 N19 I/O GPIO port M bit 6
PM7 N18 I/O GPIO port M bit 7
GPIO, Port N PN0 C10 I/O GPIO port N bit 0
PN1 B11 I/O GPIO port N bit 1
PN2 A11 I/O GPIO port N bit 2
PN3 B10 I/O GPIO port N bit 3
PN4 A10 I/O GPIO port N bit 4
PN5 B9 I/O GPIO port N bit 5
PN6 T12 I/O GPIO port N bit 6
PN7 U12 I/O GPIO port N bit 7
GPIO, Port P PP0 D6 I/O GPIO port P bit 0
PP1 D7 I/O GPIO port P bit 1
PP2 B13 I/O GPIO port P bit 2
PP3 C12 I/O GPIO port P bit 3
PP4 D8 I/O GPIO port P bit 4
PP5 B12 I/O GPIO port P bit 5
PP6 B8 I/O GPIO port P bit 6
PP7 A8 I/O GPIO port P bit 7
GPIO, Port Q PQ0 E3 I/O GPIO port Q bit 0
PQ1 E2 I/O GPIO port Q bit 1
PQ2 H4 I/O GPIO port Q bit 2
PQ3 M4 I/O GPIO port Q bit 3
PQ4 A13 I/O GPIO port Q bit 4
PQ5 W12 I/O GPIO port Q bit 5
PQ6 U15 I/O GPIO port Q bit 6
PQ7 M3 I/O GPIO port Q bit 7
GPIO, Port R PR0 N5 I/O GPIO port R bit 0
PR1 N4 I/O GPIO port R bit 1
PR2 N2 I/O GPIO port R bit 2
PR3 V8 I/O GPIO port R bit 3
PR4 P3 I/O GPIO port R bit 4
PR5 P2 I/O GPIO port R bit 5
PR6 W9 I/O GPIO port R bit 6
PR7 R10 I/O GPIO port R bit 7
GPIO, Port S PS0 D12 I/O GPIO port S bit 0
PS1 D13 I/O GPIO port S bit 1
PS2 B14 I/O GPIO port S bit 2
PS3 A14 I/O GPIO port S bit 3
PS4 V9 I/O GPIO port S bit 4
PS5 T13 I/O GPIO port S bit 5
PS6 U10 I/O GPIO port S bit 6
PS7 R13 I/O GPIO port S bit 7
GPIO, Port T PT0 W10 I/O GPIO port T bit 0
PT1 V10 I/O GPIO port T bit 1
PT2 E18 I/O GPIO port T bit 2
PT3 F17 I/O GPIO port T bit 3
Hibernate GNDX R18 GND for the Hibernation oscillator. When using a crystal clock source, connect this pin to digital ground along with the crystal load capacitors. When using an external oscillator, connect this pin to digital ground.
HIB M17 O An output that indicates the processor is in hibernate mode.
RTCCLK M1 O Buffered version of the 32.768-kHz clock of the Hibernation module. This signal is not output when the part is in hibernate mode and before being configured after power-on reset.
W16
C12
TMPR0 N18 I/O Tamper signal 0
TMPR1 N19 I/O Tamper signal 1
TMPR2 G15 I/O Tamper signal 2
TMPR3 M18 I/O Tamper signal 3
VBAT P19 Power source for the Hibernation module. It is normally connected to the positive terminal of a battery and serves as the battery backup and Hibernation module power-source supply.
WAKE U18 I An external input that brings the processor out of hibernate mode when asserted
XOSC0 T18 I Hibernation module oscillator crystal input or an external clock reference input. This is either a crystal or a 32.768-kHz oscillator for the RTC of the Hibernation module.
XOSC1 T19 O Hibernation module oscillator crystal output. Leave unconnected when using a single-ended clock source.
I2C I2C0SCL A17 I/O I2C module 0 clock. This signal has an active pullup. The corresponding port pin should not be configured as open drain.
I2C0SDA B17 I/O I2C module 0 data
I2C1SCL N15 I/O I2C module 1 clock. This signal has an active pullup. The corresponding port pin should not be configured as open drain.
N5
I2C1SDA T14 I/O I2C module 1 data
N4
I2C2SCL V11 I/O I2C module 2 clock. This signal has an active pullup. The corresponding port pin should not be configured as open drain.
H19
B9
B12
N2
I2C2SDA M16 I/O I2C module 2 data
G16
A10
B8
V8
I2C3SCL K17 I/O I2C module 3 clock. This signal has an active pullup. The corresponding port pin should not be configured as open drain.
U19
P3
I2C3SDA K15 I/O I2C module 3 data
V17
P2
I2C4SCL V12 I/O I2C module 4 clock. This signal has an active pullup. The corresponding port pin should not be configured as open drain.
V16
W9
I2C4SDA U14 I/O I2C module 4 data
W16
R10
I2C5SCL A16 I/O I2C module 5 clock. This signal has an active pullup. The corresponding port pin should not be configured as open drain.
C6
I2C5SDA B16 I/O I2C module 5 data
B6
I2C6SCL V5 I/O I2C module 6 clock. This signal has an active pullup. The corresponding port pin should not be configured as open drain.
F2
I2C6SDA R7 I/O I2C module 6 data
F1
I2C7SCL V4 I/O I2C module 7 clock. This signal has an active pullup. The corresponding port pin should not be configured as open drain.
C2
I2C7SDA W4 I/O I2C module 7 data
C1
I2C8SCL T6 I/O I2C module 8 clock. This signal has an active pullup. The corresponding port pin should not be configured as open drain.
D2
I2C8SDA U5 I/O I2C module 8 data
D1
I2C (continued) I2C9SCL V3 I/O I2C module 9 clock. This signal has an active pullup. The corresponding port pin should not be configured as open drain.
A7
I2C9SDA W3 I/O I2C module 9 data
B7
JTAG, SWD, SWO TCLK/SWCLK B15 I JTAG and SWD clock
TDI D14 I JTAG TDI
TDO/SWO C14 O JTAG TDO and SWO
TMS/SWDIO C15 I JTAG TMS and SWDIO
LCD LCDAC N1 O LCD AC bias or latch enable in raster mode. Primary chip select (CS0) or primary enable (E0) in LIDD MPU/Hitachi mode.
LCDCP N5 O LCD pixel clock in raster mode. Read strobe or read/write strobe in LIDD mode.
LCDDATA00 P3 I/O LCD data pin 0 input/output
LCDDATA01 P2 I/O LCD data pin 1 input/output
LCDDATA02 U8 I/O LCD data pin 2 input/output
LCDDATA03 V8 I/O LCD data pin 3 input/output
LCDDATA04 W9 I/O LCD data pin 4 input/output
LCDDATA05 R10 I/O LCD data pin 5 input/output
LCDDATA06 V9 I/O LCD data pin 6 input/output
LCDDATA07 T13 I/O LCD data pin 7 input/output
LCDDATA08 U10 I/O LCD data pin 8 input/output
LCDDATA09 R13 I/O LCD data pin 9 input/output
LCDDATA10 W10 I/O LCD data pin 10 input/output
LCDDATA11 V10 I/O LCD data pin 11 input/output
LCDDATA12 U12 I/O LCD data pin 12 input/output
LCDDATA13 T12 I/O LCD data pin 13 input/output
LCDDATA14 H17 I/O LCD data pin 14 input/output
LCDDATA15 F16 I/O LCD data pin 15 input/output
LCDDATA16 F18 O LCD data pin 16 output
LCDDATA17 E17 O LCD data pin 17 output
LCDDATA18 E18 O LCD data pin 18 output
LCDDATA19 F17 O LCD data pin 19 output
LCDDATA20 D12 O LCD data pin 20 output
LCDDATA21 D13 O LCD data pin 21 output
LCDDATA22 B14 O LCD data pin 22 output
LCDDATA23 A14 O LCD data pin 23 output
LCDFP N4 O LCD frame clock or VSYNC in raster mode. Address latch enable in LIDD mode.
LCDLP N2 O LCD line clock or HSYNC in raster mode. Write strobe or direction bit in LIDD mode.
LCDMCLK T8 O LCD memory clock, secondary chip select (CS1), or secondary enable (E1) in LIDD synchronous or asynchronous MPU or Hitachi mode
PWM M0FAULT0 V7 I Motion control module 0 PWM fault 0
D12
M0FAULT1 V16 Motion control module 0 PWM fault 1
D13
M0FAULT2 W16 Motion control module 0 PWM fault 2
B14
M0FAULT3 G16 Motion control module 0 PWM fault 3
A14
M0PWM0 U6 O Motion control module 0 PWM 0. This signal is controlled by module 0 PWM generator 0.
N5
M0PWM1 V6 Motion control module 0 PWM 1. This signal is controlled by module 0 PWM generator 0.
N4
M0PWM2 W6 Motion control module 0 PWM 2. This signal is controlled by module 0 PWM generator 1.
N2
M0PWM3 T7 Motion control module 0 PWM 3. This signal is controlled by module 0 PWM generator 1.
V8
M0PWM4 N15 Motion control module 0 PWM 4. This signal is controlled by module 0 PWM generator 2.
P3
M0PWM5 T14 Motion control module 0 PWM 5. This signal is controlled by module 0 PWM generator 2.
P2
M0PWM6 U19 Motion control module 0 PWM 6. This signal is controlled by module 0 PWM generator 3.
W9
M0PWM7 V17 Motion control module 0 PWM 7. This signal is controlled by module 0 PWM generator 3.
R10
Power GND F10 Ground reference for logic and I/O pins
H10
H11
H12
J11
J12
K6
K9
P16
K10
R17
K13
K14
L8
L9
M8
M9
M10
N10
A1
A2
B1
V1
W1
W2
A18
A19
B19
Power (continued) GNDA G4 The ground reference for the analog circuits (ADC, Analog Comparators, and so on). These are separated from GND to minimize the electrical noise contained on VDD from affecting the analog functions.
VDD G10 Positive supply for I/O and some logic
H9
J8
J9
J10
K7
K8
K11
N16
P17
K12
L10
L11
L12
M11
M12
P10
VDDA F3 The positive supply for the analog circuits (for example, ADC and analog comparators). These are separated from VDD to minimize the electrical noise contained on VDD from affecting the analog functions. VDDA pins must be supplied with a voltage that meets the specification in Section 5.4, regardless of system implementation.
VDDC H16 Positive supply for most of the logic function, including the processor core and most peripherals. The voltage on this pin is 1.2 V and is supplied by the on-chip LDO. The VDDC pins should only be connected to each other and an external capacitor as specified in Section 5.12.
E10
QEI IDX0 J18 I QEI module 0 index
U10
PhA0 H19 I QEI module 0 phase A
V9
PhB0 G18 I QEI module 0 phase B
T13
SSI SSI0Clk T6 I/O SSI module 0 clock
SSI0Fss U5 SSI module 0 frame signal
SSI0XDAT0 V4 SSI Module 0 bidirectional data pin 0 (SSI0TX in Legacy SSI Mode)
SSI0XDAT1 W4 SSI Module 0 bidirectional data pin 1 (SSI0RX in Legacy SSI Mode)
SSI0XDAT2 V5 SSI Module 0 bidirectional data pin 2
SSI0XDAT3 R7 SSI Module 0 bidirectional data pin 3
SSI1Clk B6 SSI module 1 clock
SSI1Fss C6 SSI module 1 frame signal
SSI1XDAT0 A5 SSI Module 1 bidirectional data pin 0 (SSI1TX in Legacy SSI Mode)
SSI1XDAT1 B5 SSI Module 1 bidirectional data pin 1 (SSI1RX in Legacy SSI Mode)
SSI1XDAT2 A4 SSI Module 1 bidirectional data pin 2
SSI1XDAT3 B4 SSI Module 1 bidirectional data pin 3
SSI2Clk D1 SSI module 2 clock
U14
SSI2Fss D2 SSI module 2 frame signal
V12
SSI2XDAT0 C1 SSI Module 2 bidirectional data pin 0 (SSI2TX in Legacy SSI Mode)
K15
SSI2XDAT1 C2 SSI Module 2 bidirectional data pin 1 (SSI2RX in Legacy SSI Mode)
K17
SSI2XDAT2 B2 SSI Module 2 bidirectional data pin 2
M16
SSI2XDAT3 B3 SSI Module 2 bidirectional data pin 3
V11
SSI3Clk T7 SSI module 3 clock
E3
SSI3Fss W6 SSI module 3 frame signal
E2
SSI3XDAT0 V6 SSI Module 3 bidirectional data pin 0 (SSI3TX in Legacy SSI Mode)
H4
SSI3XDAT1 U6 SSI Module 3 bidirectional data pin 1 (SSI3RX in Legacy SSI Mode)
M4
SSI3XDAT2 V7 SSI Module 3 bidirectional data pin 2
D6
SSI3XDAT3 W7 SSI Module 3 bidirectional data pin 3
D7
System Control and Clocks DIVSCLK A13 O An optionally divided reference clock output based on a selected clock source. This signal is not synchronized to the system clock.
GNDX2 D18 GND for the MOSC. When using a crystal clock source, connect this pin to digital ground along with the crystal load capacitors. When using an external oscillator, connect this pin to digital ground.
NMI B2 I Nonmaskable interrupt
B7
OSC0 E19 Main oscillator crystal input or an external clock reference input
OSC1 D19 O Main oscillator crystal output. Leave unconnected when using a single-ended clock source.
RST P18 I System reset input
UART U0CTS C6 I UART module 0 clear to send modem flow control input signal
A7
K17
R2
M18
U0DCD R1 I UART module 0 data carrier detect modem status input signal
G15
C12
U0DSR T1 I UART module 0 data set ready modem output control line
N19
D8
U0DTR R3 O UART module 0 data terminal ready modem status input signal
B13
U0RI T2 I UART module 0 ring indicator modem status input signal
W16
N18
U0RTS B6 O UART module 0 request to send modem flow control output signal
B7
K15
P4
U0Rx V3 I UART module 0 receive
U0Tx W3 O UART module 0 transmit
U1CTS B11 I UART module 1 clear to send modem flow control input signal
C12
U1DCD G1 I UART module 1 data carrier detect modem status input signal
A11
B8
U1DSR H2 I UART module 1 data set ready modem output control line
B10
B14
U1DTR G2 O UART module 1 data terminal ready modem status input signal
A10
U15
U1RI A5 I UART module 1 ring indicator modem status input signal
B9
M3
U1RTS H3 O UART module 1 request to send modem flow control output line
C10
U12
U1Rx A16 I UART module 1 receive
A13
P2
U1Tx B16 O UART module 1 transmit
W12
W9
UART (continued) U2CTS B2 I UART module 2 clear to send modem flow control input signal
F16
B10
U2RTS B3 O UART module 2 request to send modem flow control output line
H17
A11
U2Rx V5 I UART module 2 receive
A4
U2Tx R7 O UART module 2 transmit
B4
U3CTS E17 I UART module 3 clear to send modem flow control input signal
B9
B12
U3RTS F18 O UART module 3 request to send modem flow control output line
A10
D8
U3Rx V4 I UART module 3 receive
C8
U3Tx W4 O UART module 3 transmit
E7
U4CTS K5 I UART module 4 clear to send modem flow control input signal
K2
U12
U4RTS N1 O UART module 4 request to send modem flow control output line
K1
T12
U4Rx T6 I UART module 4 receive
J1
N4
U4Tx U5 O UART module 4 transmit
J2
N5
U5Rx L2 I UART module 5 receive
U2
U5Tx K3 O UART module 5 transmit
V2
U6Rx D6 I UART module 6 receive
U6Tx D7 O UART module 6 transmit
U7Rx M2 I UART module 7 receive
U2
U7Tx M1 O UART module 7 transmit
V2
USB USB0CLK B17 O 60-MHz clock to the external PHY
USB0D0 G16 I/O USB data 0
USB0D1 H19 USB data 1
USB0D2 G18 USB data 2
USB0D3 J18 USB data 3
USB0D4 H18 USB data 4
USB0D5 G19 USB data 5
USB0D6 B12 USB data 6
USB0D7 D8 USB data 7
USB0DIR C12 O Indicates that the external PHY is able to accept data from the USB controller
USB0DM B18 I/O Bidirectional differential data pin (D– per USB specification) for USB0
USB0DP C18 Bidirectional differential data pin (D+ per USB specification) for USB0
USB0EPEN V5 O Optionally used in host mode to control an external power source to supply power to the USB bus
R7
B3
USB0ID A16 I This signal senses the state of the USB ID signal. The USB PHY enables an integrated pullup, and an external element (USB connector) indicates the initial state of the USB controller (pulled down is the A side of the cable and pulled up is the B side).
USB0NXT B13 O Asserted by the external PHY to throttle all data types
USB0PFLT R7 I Optionally used in host mode by an external power source to indicate an error state by that power source
B2
USB0STP A17 O Asserted by the USB controller to signal the end of a USB transmit packet or register write operation
USB0VBUS B16 I/O This signal is used during the session request protocol. This signal allows the USB PHY to both sense the voltage level of VBUS, and pull up VBUS momentarily during VBUS pulsing.

GPIO Pin Multiplexing

Table 4-4 describes the GPIO pins and alternate functions.

Table 4-4 GPIO Pins and Alternate Functions

I/O PIN ANALOG OR SPECIAL FUNCTION(1) DIGITAL FUNCTION (GPIOPCTL PMCx BIT FIELD ENCODING)
1 2 3 4 5 6 7 8 11 13 14 15
PA0 V3 U0Rx I2C9SCL T0CCP0 CAN0Rx
PA1 W3 U0Tx I2C9SDA T0CCP1 CAN0Tx
PA2 T6 U4Rx I2C8SCL T1CCP0 SSI0Clk
PA3 U5 U4Tx I2C8SDA T1CCP1 SSI0Fss
PA4 V4 U3Rx I2C7SCL T2CCP0 SSI0XDAT0
PA5 W4 U3Tx I2C7SDA T2CCP1 SSI0XDAT1
PA6 V5 U2Rx I2C6SCL T3CCP0 USB0EPEN SSI0XDAT2 EN0RXCK EPI0S8
PA7 R7 U2Tx I2C6SDA T3CCP1 USB0PFLT USB0EPEN SSI0XDAT3 EPI0S9
PB0 A16 USB0ID U1Rx I2C5SCL T4CCP0 CAN1Rx
PB1 B16 USB0VBUS U1Tx I2C5SDA T4CCP1 CAN1Tx
PB2 A17 I2C0SCL T5CCP0 EN0MDC USB0STP EPI0S27
PB3 B17 I2C0SDA T5CCP1 EN0MDIO USB0CLK EPI0S28
PB4 C6 AIN10 U0CTS I2C5SCL SSI1Fss
PB5 B6 AIN11 U0RTS I2C5SDA SSI1Clk
PB6 F2 I2C6SCL T6CCP0
PB7 F1 I2C6SDA T6CCP1
PC0 B15 TCLK SWCLK
PC1 C15 TMS SWDIO
PC2 D14 TDI
PC3 C14 TDO SWO
PC4 M2 C1- U7Rx T7CCP0 EPI0S7
PC5 M1 C1+ U7Tx T7CCP1 EPI0S6
PC6 L2 C0+ U5Rx EPI0S5
PC7 K3 C0- U5Tx EPI0S4
PD0 C2 AIN15 I2C7SCL T0CCP0 C0o SSI2XDAT1
PD1 C1 AIN14 I2C7SDA T0CCP1 C1o SSI2XDAT0
PD2 D2 AIN13 I2C8SCL T1CCP0 C2o SSI2Fss
PD3 D1 AIN12 I2C8SDA T1CCP1 SSI2Clk
PD4 A4 AIN7 U2Rx T3CCP0 SSI1XDAT2
PD5 B4 AIN6 U2Tx T3CCP1 SSI1XDAT3
PD6 B3 AIN5 U2RTS T4CCP0 USB0EPEN SSI2XDAT3
PD7 B2 AIN4 U2CTS T4CCP1 USB0PFLT NMI SSI2XDAT2
PE0 H3 AIN3 U1RTS
PE1 H2 AIN2 U1DSR
PE2 G1 AIN1 U1DCD
PE3 G2 AIN0 U1DTR OWIRE
PE4 A5 AIN9 U1RI SSI1XDAT0
PE5 B5 AIN8 SSI1XDAT1
PE6 A7 AIN20 U0CTS I2C9SCL
PE7 B7 AIN21 U0RTS I2C9SDA NMI
PF0 U6 EN0LED0 M0PWM0 SSI3XDAT1 TRD2
PF1 V6 EN0LED2 M0PWM1 SSI3XDAT0 TRD1
PF2 W6 EN0MDC M0PWM2 SSI3Fss TRD0
PF3 T7 EN0MDIO M0PWM3 SSI3Clk TRCLK
PF4 V7 EN0LED1 M0FAULT0 SSI3XDAT2 TRD3
PF5 W7 SSI3XDAT3
PF6 T8 LCDMCLK
PF7 U8 LCDDATA02
PG0 N15 I2C1SCL EN0PPS M0PWM4 EPI0S11
PG1 T14 I2C1SDA M0PWM5 EPI0S10
PG2 V11 I2C2SCL EN0TXCK SSI2XDAT3
PG3 M16 I2C2SDA EN0TXEN SSI2XDAT2
PG4 K17 U0CTS I2C3SCL OWIRE EN0TXD0 SSI2XDAT1
PG5 K15 U0RTS I2C3SDA OWALT EN0TXD1 SSI2XDAT0
PG6 V12 I2C4SCL OWIRE EN0RXER SSI2Fss
PG7 U14 I2C4SDA OWIRE EN0RXDV SSI2Clk
PH0 P4 U0RTS EPI0S0
PH1 R2 U0CTS EPI0S1
PH2 R1 U0DCD EPI0S2
PH3 T1 U0DSR EPI0S3
PH4 R3 U0DTR
PH5 T2 U0RI EN0PPS
PH6 U2 U5Rx U7Rx
PH7 V2 U5Tx U7Tx
PJ0 C8 U3Rx EN0PPS
PJ1 E7 U3Tx
PJ2 H17 U2RTS LCDDATA14
PJ3 F16 U2CTS LCDDATA15
PJ4 F18 U3RTS LCDDATA16
PJ5 E17 U3CTS LCDDATA17
PJ6 N1 U4RTS LCDAC
PJ7 K5 U4CTS
PK0 J1 AIN16 U4Rx EPI0S0
PK1 J2 AIN17 U4Tx EPI0S1
PK2 K1 AIN18 U4RTS EPI0S2
PK3 K2 AIN19 U4CTS EPI0S3
PK4 U19 I2C3SCL EN0LED0 M0PWM6 EN0INTRN EN0RXD3 EPI0S32
PK5 V17 I2C3SDA EN0LED2 M0PWM7 EN0RXD2 EPI0S31
PK6 V16 I2C4SCL EN0LED1 M0FAULT1 EN0TXD2 EPI0S25
PK7 W16 U0RI I2C4SDA RTCCLK M0FAULT2 EN0TXD3 EPI0S24
PL0 G16 I2C2SDA M0FAULT3 USB0D0 EPI0S16
PL1 H19 I2C2SCL PhA0 USB0D1 EPI0S17
PL2 G18 C0o PhB0 USB0D2 EPI0S18
PL3 J18 C1o IDX0 USB0D3 EPI0S19
PL4 H18 T0CCP0 USB0D4 EPI0S26
PL5 G19 T0CCP1 USB0D5 EPI0S33
PL6 C18 USB0DP T1CCP0
PL7 B18 USB0DM T1CCP1
PM0 K18 T2CCP0 EPI0S15
PM1 K19 T2CCP1 EPI0S14
PM2 L18 T3CCP0 EPI0S13
PM3 L19 T3CCP1 EPI0S12
PM4 M18 TMPR3 U0CTS T4CCP0 EN0RREF_CLK
PM5 G15 TMPR2 U0DCD T4CCP1
PM6 N19 TMPR1 U0DSR T5CCP0 EN0CRS
PM7 N18 TMPR0 U0RI T5CCP1 EN0COL
PN0 C10 U1RTS
PN1 B11 U1CTS
PN2 A11 U1DCD U2RTS EPI0S29
PN3 B10 U1DSR U2CTS EPI0S30
PN4 A10 U1DTR U3RTS I2C2SDA EPI0S34
PN5 B9 U1RI U3CTS I2C2SCL EPI0S35
PN6 T12 U4RTS EN0TXER LCDDATA13
PN7 U12 U1RTS U4CTS LCDDATA12
PP0 D6 C2+ U6Rx T6CCP0 EN0INTRN SSI3XDAT2
PP1 D7 C2- U6Tx T6CCP1 SSI3XDAT3
PP2 B13 U0DTR USB0NXT EPI0S29
PP3 C12 U1CTS U0DCD RTCCLK USB0DIR EPI0S30
PP4 D8 U3RTS U0DSR OWIRE USB0D7
PP5 B12 U3CTS I2C2SCL OWALT USB0D6
PP6 B8 AIN23 U1DCD I2C2SDA
PP7 A8 AIN22 OWIRE
PQ0 E3 T6CCP0 SSI3Clk EPI0S20
PQ1 E2 T6CCP1 SSI3Fss EPI0S21
PQ2 H4 T7CCP0 SSI3XDAT0 EPI0S22
PQ3 M4 T7CCP1 SSI3XDAT1 EPI0S23
PQ4 A13 U1Rx DIVSCLK
PQ5 W12 U1Tx EN0RXD0
PQ6 U15 U1DTR EN0RXD1
PQ7 M3 U1RI
PR0 N5 U4Tx I2C1SCL M0PWM0 LCDCP
PR1 N4 U4Rx I2C1SDA M0PWM1 LCDFP
PR2 N2 I2C2SCL M0PWM2 LCDLP
PR3 V8 I2C2SDA M0PWM3 LCDDATA03
PR4 P3 I2C3SCL T0CCP0 M0PWM4 LCDDATA00
PR5 P2 U1Rx I2C3SDA T0CCP1 M0PWM5 LCDDATA01
PR6 W9 U1Tx I2C4SCL T1CCP0 M0PWM6 LCDDATA04
PR7 R10 I2C4SDA T1CCP1 M0PWM7 EN0TXEN LCDDATA05
PS0 D12 T2CCP0 M0FAULT0 LCDDATA20
PS1 D13 T2CCP1 M0FAULT1 LCDDATA21
PS2 B14 U1DSR T3CCP0 M0FAULT2 LCDDATA22
PS3 A14 T3CCP1 M0FAULT3 LCDDATA23
PS4 V9 T4CCP0 PhA0 EN0TXD0 LCDDATA06
PS5 T13 T4CCP1 PhB0 EN0TXD1 LCDDATA07
PS6 U10 T5CCP0 IDX0 EN0RXER LCDDATA08
PS7 R13 T5CCP1 EN0RXDV LCDDATA09
PT0 W10 T6CCP0 CAN0Rx EN0RXD0 LCDDATA10
PT1 V10 T6CCP1 CAN0Tx EN0RXD1 LCDDATA11
PT2 E18 T7CCP0 CAN1Rx LCDDATA18
PT3 F17 T7CCP1 CAN1Tx LCDDATA19
The TMPRn signals are digital signals enabled and configured by the Hibernation module. All other signals listed in this column are analog signals.

Buffer Type

Table 4-5 describes the buffer types that are referenced in Section 4.2.

Table 4-5 Buffer Type

BUFFER TYPE (STANDARD) NOMINAL VOLTAGE HYSTERESIS PU OR PD NOMINAL PU OR PD STRENGTH (µA) OUTPUT DRIVE STRENGTH (mA) OTHER CHARACTERISTICS
Analog(2) 3.3 V N N/A N/A N/A See analog modules in Section 5 for details.
LVCMOS 3.3 V Y(1) Programmable See Input/Output Pin Characteristics. See Typical Characteristics.
Power (VDD)(3) 3.3 V N N/A N/A N/A
Power (VDDA)(3) 3.3 V N N/A N/A N/A
Power (GND and GNDA)(3) 0 V N N/A N/A N/A
Only for input pins
This is a switch, not a buffer.
This is supply input, not a buffer.

Connections for Unused Pins

Table 4-6 lists the recommended connections for unused pins.

Table 4-6 lists two options: an acceptable practice and a preferred practice for reduced power consumption and improved EMC characteristics. If a module is not used in a system, and its inputs are grounded, it is important that the clock to the module is never enabled by setting the corresponding bit in the RCGCx register.

Table 4-6 Connections for Unused Pins

FUNCTION SIGNAL NAME PIN NUMBER ACCEPTABLE PRACTICE PREFERRED PRACTICE
ADC VREFA+ F4 VDDA VDDA
VREFA- G5 GND GND
Ethernet EN0RXIN V13 NC NC
EN0RXIP W13 NC NC
EN0TXON V14 NC NC
EN0TXOP V15 NC NC
RBIAS W15 Connect to ground through 4.87-kΩ resistor. Connect to ground through 4.87-kΩ resistor.
GPIO PA1 (U0Tx) W3 NC GND
PA4 (SSI0XDAT0) V4 NC GND
All unused GPIOs NC GND
Hibernate GNDX R18 GND GND
HIB M17 NC NC
VBAT P19 NC VDD
WAKE U18 NC GND
XOSC0 T18 NC GND
XOSC1 T19 NC NC
No connects NC See NC pin numbers in Table 4-3 NC NC
System control GNDX2 D18 GND GND
OSC0 E19 NC GND
OSC1 D19 NC NC
RST P18 VDD Pull up to VDD with 0 to 100-kΩ resistor(1).
USB USB0DM B18 NC Pull down to GND with 1-kΩ resistor(2).
PL7
USB0DP C18 NC Pull down to GND with 1-kΩ resistor(2).
PL6
For details, see the System Control chapter of the SimpleLink™ MSP432E4 Microcontrollers Technical Reference Manual
The ROM bootloader may configure these pins as USB pins if no code is present in the flash; therefore, they should not be directly connected to ground.