JAJSFZ4E March   2009  – August 2018 TMS320C28341 , TMS320C28342 , TMS320C28343 , TMS320C28344 , TMS320C28345 , TMS320C28346

PRODUCTION DATA.  

  1. 1デバイスの概要
    1. 1.1 特長
    2. 1.2 アプリケーション
    3. 1.3 概要
    4. 1.4 機能ブロック図
  2. 2改訂履歴
  3. 3Device Comparison
    1. 3.1 Related Products
  4. 4Terminal Configuration and Functions
    1. 4.1 Pin Diagrams
    2. 4.2 Signal Descriptions
  5. 5Specifications
    1. 5.1 Absolute Maximum Ratings
    2. 5.2 ESD Ratings – Automotive
    3. 5.3 ESD Ratings – Commercial
    4. 5.4 Recommended Operating Conditions
    5. 5.5 Power Consumption Summary
      1. Table 5-1 TMS320C28346/C28344 Current Consumption by Power-Supply Pins at 300-MHz SYSCLKOUT
      2. Table 5-2 TMS320C28345/C28343 Current Consumption by Power-Supply Pins at 200-MHz SYSCLKOUT
      3. 5.5.1     Reducing Current Consumption
    6. 5.6 Electrical Characteristics
    7. 5.7 Thermal Resistance Characteristics
      1. 5.7.1 ZHH Package
      2. 5.7.2 ZFE Package
    8. 5.8 Thermal Design Considerations
    9. 5.9 Timing and Switching Characteristics
      1. 5.9.1 Timing Parameter Symbology
        1. 5.9.1.1 General Notes on Timing Parameters
        2. 5.9.1.2 Test Load Circuit
        3. 5.9.1.3 Device Clock Table
          1. Table 5-4 Clocking and Nomenclature (300-MHz Devices)
          2. Table 5-5 Clocking and Nomenclature (200-MHz Devices)
      2. 5.9.2 Power Sequencing
        1. 5.9.2.1   Power Management and Supervisory Circuit Solutions
        2. Table 5-6 Reset (XRS) Timing Requirements
      3. 5.9.3 Clock Requirements and Characteristics
        1. Table 5-7 XCLKIN/X1 Timing Requirements – PLL Enabled
        2. Table 5-8 XCLKIN/X1 Timing Requirements – PLL Disabled
        3. Table 5-9 XCLKOUT Switching Characteristics (PLL Bypassed or Enabled)
      4. 5.9.4 Peripherals
        1. 5.9.4.1 General-Purpose Input/Output (GPIO)
          1. 5.9.4.1.1 GPIO - Output Timing
            1. Table 5-10 General-Purpose Output Switching Characteristics
          2. 5.9.4.1.2 GPIO - Input Timing
            1. Table 5-11 General-Purpose Input Timing Requirements
          3. 5.9.4.1.3 Sampling Window Width for Input Signals
          4. 5.9.4.1.4 Low-Power Mode Wakeup Timing
            1. Table 5-12 IDLE Mode Timing Requirements
            2. Table 5-13 IDLE Mode Switching Characteristics
            3. Table 5-14 STANDBY Mode Timing Requirements
            4. Table 5-15 STANDBY Mode Switching Characteristics
            5. Table 5-16 HALT Mode Timing Requirements
            6. Table 5-17 HALT Mode Switching Characteristics
        2. 5.9.4.2 Enhanced Control Peripherals
          1. 5.9.4.2.1 Enhanced Pulse Width Modulator (ePWM) Timing
            1. Table 5-18 ePWM Timing Requirements
            2. Table 5-19 ePWM Switching Characteristics
          2. 5.9.4.2.2 Trip-Zone Input Timing
            1. Table 5-20 Trip-Zone Input Timing Requirements
          3. 5.9.4.2.3 High-Resolution PWM Timing
            1. Table 5-21 High-Resolution PWM Characteristics at SYSCLKOUT = (150–300 MHz)
          4. 5.9.4.2.4 Enhanced Capture (eCAP) Timing
            1. Table 5-22 Enhanced Capture (eCAP) Timing Requirements
            2. Table 5-23 eCAP Switching Characteristics
          5. 5.9.4.2.5 Enhanced Quadrature Encoder Pulse (eQEP) Timing
            1. Table 5-24 Enhanced Quadrature Encoder Pulse (eQEP) Timing Requirements
            2. Table 5-25 eQEP Switching Characteristics
          6. 5.9.4.2.6 ADC Start-of-Conversion Timing
            1. Table 5-26 External ADC Start-of-Conversion Switching Characteristics
        3. 5.9.4.3 External Interrupt Timing
          1. Table 5-27 External Interrupt Timing Requirements
          2. Table 5-28 External Interrupt Switching Characteristics
        4. 5.9.4.4 I2C Electrical Specification and Timing
          1. Table 5-29 I2C Timing
        5. 5.9.4.5 Serial Peripheral Interface (SPI) Timing
          1. 5.9.4.5.1 Master Mode Timing
            1. Table 5-30 SPI Master Mode External Timing (Clock Phase = 0)
            2. Table 5-31 SPI Master Mode External Timing (Clock Phase = 1)
          2. 5.9.4.5.2 Slave Mode Timing
            1. Table 5-32 SPI Slave Mode External Timing (Clock Phase = 0)
            2. Table 5-33 SPI Slave Mode External Timing (Clock Phase = 1)
        6. 5.9.4.6 Multichannel Buffered Serial Port (McBSP) Timing
          1. 5.9.4.6.1 McBSP Transmit and Receive Timing
            1. Table 5-34 McBSP Timing Requirements
            2. Table 5-35 McBSP Switching Characteristics
          2. 5.9.4.6.2 McBSP as SPI Master or Slave Timing
            1. Table 5-36 McBSP as SPI Master or Slave Timing Requirements (CLKSTP = 10b, CLKXP = 0)
            2. Table 5-37 McBSP as SPI Master or Slave Switching Characteristics (CLKSTP = 10b, CLKXP = 0)
            3. Table 5-38 McBSP as SPI Master or Slave Timing Requirements (CLKSTP = 11b, CLKXP = 0)
            4. Table 5-39 McBSP as SPI Master or Slave Switching Characteristics (CLKSTP = 11b, CLKXP = 0)
            5. Table 5-40 McBSP as SPI Master or Slave Timing Requirements (CLKSTP = 10b, CLKXP = 1)
            6. Table 5-41 McBSP as SPI Master or Slave Switching Characteristics (CLKSTP = 10b, CLKXP = 1)
            7. Table 5-42 McBSP as SPI Master or Slave Timing Requirements (CLKSTP = 11b, CLKXP = 1)
            8. Table 5-43 McBSP as SPI Master or Slave Switching Characteristics (CLKSTP = 11b, CLKXP = 1)
      5. 5.9.5 Emulator Connection Without Signal Buffering for the MCU
      6. 5.9.6 External Interface (XINTF) Timing
        1. 5.9.6.1 USEREADY = 0
        2. 5.9.6.2 Synchronous Mode (USEREADY = 1, READYMODE = 0)
        3. 5.9.6.3 Asynchronous Mode (USEREADY = 1, READYMODE = 1)
        4. 5.9.6.4 XINTF Signal Alignment to XCLKOUT
        5. 5.9.6.5 External Interface Read Timing
          1. Table 5-46 External Interface Read Timing Requirements
          2. Table 5-47 External Interface Read Switching Characteristics
        6. 5.9.6.6 External Interface Write Timing
          1. Table 5-48 External Interface Write Switching Characteristics
        7. 5.9.6.7 External Interface Ready-on-Read Timing With One External Wait State
          1. Table 5-49 External Interface Read Switching Characteristics (Ready-on-Read, One Wait State)
          2. Table 5-50 External Interface Read Timing Requirements (Ready-on-Read, One Wait State)
          3. Table 5-51 Synchronous XREADY Timing Requirements (Ready-on-Read, One Wait State)
          4. Table 5-52 Asynchronous XREADY Timing Requirements (Ready-on-Read, One Wait State)
        8. 5.9.6.8 External Interface Ready-on-Write Timing With One External Wait State
          1. Table 5-53 External Interface Write Switching Characteristics (Ready-on-Write, One Wait State)
          2. Table 5-54 Synchronous XREADY Timing Requirements (Ready-on-Write, One Wait State)
          3. Table 5-55 Asynchronous XREADY Timing Requirements (Ready-on-Write, One Wait State)
        9. 5.9.6.9 XHOLD and XHOLDA Timing
          1. Table 5-56 XHOLD/XHOLDA Timing Requirements
  6. 6Detailed Description
    1. 6.1 Brief Descriptions
      1. 6.1.1  C28x CPU
      2. 6.1.2  Memory Bus (Harvard Bus Architecture)
      3. 6.1.3  Peripheral Bus
      4. 6.1.4  Real-Time JTAG and Analysis
      5. 6.1.5  External Interface (XINTF)
      6. 6.1.6  M0, M1 SARAMs
      7. 6.1.7  L0, L1, L2, L3, L4, L5, L6, L7, H0, H1, H2, H3, H4, H5 SARAMs
      8. 6.1.8  Boot ROM
      9. 6.1.9  Security
      10. 6.1.10 Peripheral Interrupt Expansion (PIE) Block
      11. 6.1.11 External Interrupts (XINT1–XINT7, XNMI)
      12. 6.1.12 Oscillator and PLL
      13. 6.1.13 Watchdog
      14. 6.1.14 Peripheral Clocking
      15. 6.1.15 Low-Power Modes
      16. 6.1.16 Peripheral Frames 0, 1, 2, 3 (PFn)
      17. 6.1.17 General-Purpose Input/Output (GPIO) Multiplexer
      18. 6.1.18 32-Bit CPU-Timers (0, 1, 2)
      19. 6.1.19 Control Peripherals
      20. 6.1.20 Serial Port Peripherals
    2. 6.2 Peripherals
      1. 6.2.1  DMA Overview
      2. 6.2.2  32-Bit CPU-Timer 0, CPU-Timer 1, CPU-Timer 2
      3. 6.2.3  Enhanced PWM Modules
      4. 6.2.4  High-Resolution PWM (HRPWM)
      5. 6.2.5  Enhanced CAP Modules
      6. 6.2.6  Enhanced QEP Modules
      7. 6.2.7  External ADC Interface
      8. 6.2.8  Multichannel Buffered Serial Port (McBSP) Module
      9. 6.2.9  Enhanced Controller Area Network (eCAN) Modules (eCAN-A and eCAN-B)
      10. 6.2.10 Serial Communications Interface (SCI) Modules (SCI-A, SCI-B, SCI-C)
      11. 6.2.11 Serial Peripheral Interface (SPI) Module (SPI-A, SPI-D)
      12. 6.2.12 Inter-Integrated Circuit (I2C)
      13. 6.2.13 GPIO MUX
      14. 6.2.14 External Interface (XINTF)
    3. 6.3 Memory Maps
    4. 6.4 Register Map
      1. 6.4.1 Device Emulation Registers
    5. 6.5 Interrupts
      1. 6.5.1 External Interrupts
    6. 6.6 System Control
      1. 6.6.1 OSC and PLL Block
        1. 6.6.1.1 External Reference Oscillator Clock Option
        2. 6.6.1.2 PLL-Based Clock Module
        3. 6.6.1.3 Loss of Input Clock
      2. 6.6.2 Watchdog Block
    7. 6.7 Low-Power Modes Block
  7. 7Applications, Implementation, and Layout
    1. 7.1 TI Design or Reference Design
  8. 8デバイスおよびドキュメントのサポート
    1. 8.1 はじめに
    2. 8.2 デバイスおよび開発ツールの項目表記
    3. 8.3 ツールとソフトウェア
    4. 8.4 ドキュメントのサポート
    5. 8.5 関連リンク
    6. 8.6 Community Resources
    7. 8.7 商標
    8. 8.8 静電気放電に関する注意事項
    9. 8.9 Glossary
  9. 9メカニカル、パッケージ、および注文情報
    1. 9.1 パッケージ情報

パッケージ・オプション

デバイスごとのパッケージ図は、PDF版データシートをご参照ください。

メカニカル・データ(パッケージ|ピン)
  • ZFE|256
サーマルパッド・メカニカル・データ
発注情報

ドキュメントのサポート

ドキュメントの更新についての通知を受け取るには、ti.comのデバイス製品フォルダを開いてください。右上の隅にある「通知を受け取る」をクリックして登録すると、変更されたすべての製品情報に関するダイジェストを毎週受け取れます。変更の詳細については、修正されたドキュメントに含まれている改訂履歴をご覧ください。

プロセッサおよび関連ペリフェラルに関する最新ドキュメント、その他の技術資料を以下に示します。

正誤表

『TMS320C2834x Delfino™ MCUシリコン正誤表』には、シリコンの各バージョンについての勧告事項と使用上の注記が記載されています。

CPUユーザー・ガイド

『TMS320C28x CPU および命令セット・リファレンス・ガイド』には、TMS320C28x 固定小数点デジタル信号プロセッサ (DSP) の CPU (Central Processing Unit) およびアセンブリ言語命令について記述されています。これらのDSPで利用可能なエミュレーション機能についても解説しています。

『TMS320C28x 拡張命令セット・テクニカル・リファレンス・マニュアル』には、TMU、VCU-II、およびFPUアクセラレータのアーキテクチャ、パイプライン、および命令セットについて記述されています。

ペリフェラル・ガイド

『C2000リアルタイム制御ペリフェラル・リファレンス・ガイド』 このドキュメントには、28xデジタル信号プロセッサ(DSP)のペリフェラル・リファレンス・ガイドが記載されています。

『TMS320 x2834x Delfino システム制御および割り込みリファレンス・ガイド』 このドキュメントには、x2834xマイクロコントローラ(MCU)の各種の割り込みおよびシステム制御機能について解説されています。

『TMS320x2834x Delfino 外部インターフェイス(XINTF)リファレンス・ガイド』 このドキュメントには、x2834xデバイスに使用されている非多重化非同期バスであるXINTFについて解説されています。

『TMS320x2834x Delfino ブートROMリファレンス・ガイド』には、ブート・ローダー(出荷時にプログラムされるブート・ローディング・ソフトウェア)の目的と機能について記述されており、サンプル・コードも含まれています。また、デバイスのオンチップ・ブートROMの他の内容についても記述されており、すべての情報について、メモリ内の位置が明記されています。

『TMS320 x2834x Delfino マルチチャネル・バッファ付きシリアル・ポート(McBSP)リファレンス・ガイド』 このドキュメントには、x2834xデバイスで利用可能なMcBSPについて記述されています。McBSPにより、マイクロコントローラ(MCU)と、システム内の他のデバイスとを直接接続できます。

『TMS320x 2834x Delfino ダイレクト・メモリ・アクセス(DMA)モジュール・リファレンス・ガイド』 このドキュメントには、x2834xマイクロコントローラ(MCU)でのDMAについて記述されています。

『TMS320x2834x Delfino 拡張パルス幅変調器(ePWM)モジュール・リファレンス・ガイド』 このドキュメントには、拡張パルス幅変調器の主要な分野として、デジタル・モーター制御、スイッチ・モード電源制御、無停電電源(UPS)、その他の電力変換形式について記述されています。

『TMS320x2834x Delfino 高分解能パルス幅変調器(HRPWM)リファレンス・ガイド』には、パルス幅変調器(HRPWM)の高分解能拡張機能の動作について記述されています。

『TMS320x2834x Delfino 拡張キャプチャ(eCAP)モジュール・リファレンス・ガイド』 このドキュメントには、拡張キャプチャ・モジュールについて記述されています。モジュールの説明とレジスタも含まれています。

『TMS320x2834x Delfino 拡張直交エンコーダ・パルス(eQEP)モジュール・リファレンス・ガイド』 このドキュメントには、eQEPモジュールについて記述されています。このモジュールは、リニアまたはロータリー増分エンコードに接続し、高性能のモーションおよび位置制御システムにおいて、回転している機械の位置、方向、速度情報を収集するために使用されます。モジュールの説明とレジスタも含まれています。

『TMS320x2834x Delfino 拡張コントローラ・エリア・ネットワーク(eCAN)リファレンス・ガイド』には、確立されたプロトコルを使用して、電気的ノイズの多い環境で他のコントローラとシリアル通信を行う、eCANについて記述されています。

『TMS320x2834x Delfino シリアル通信インターフェイス(SCI)リファレンス・ガイド』 このドキュメントには、一般にUARTと呼ばれる2線式非同期シリアル・ポートのSCIについて記述されています。SCIモジュールは、標準のNRZ (non-return-to-zero)フォーマットを使用する、CPUと他の非同期ペリフェラルの間のデジタル通信をサポートします。

『TMS320x2834x Delfino シリアル・ペリフェラル・インターフェイス(SPI)リファレンス・ガイド』 このドキュメントには、高速な同期シリアル入出力(I/O)ポートであるSPIについて記述されています。この機能により、プログラムされた長さ(1~16ビット)のシリアル・ビット・ストリームを、プログラムされたビット転送速度でデバイスにシフトイン/シフトアウトできます。

『TMS320x2834x Delfino 集積回路相互接続(I2C)モジュール・リファレンス・ガイド』 このドキュメントには、I2C (Inter-Integrated Circuit)モジュールの機能と動作について記述されています。

ツール・ガイド

『TMS320C28xアセンブリ言語ツールv18.1.0.LTSユーザー・ガイド』には、TMS320C28xデバイス用のアセンブリ言語ツール(アセンブリ言語コードを開発するためのアセンブラや他のツール)、アセンブラのディレクティブ、マクロ、一般的なオブジェクト・ファイルのフォーマット、およびシンボリック・デバッグ・ディレクティブについて記述されています。

『TMS320C28x最適化C/C++コンパイラv18.1.0.LTSユーザー・ガイド』には、TMS320C28x C/C++コンパイラについて記述されています。このコンパイラは、ANSI標準のC/C++ソースコードから、TMS320C28xデバイス用のTMS320 DSPアセンブリ言語ソースコードを生成します。

『TMS320C28x DSP/BIOS 5.x アプリケーション・プログラミング・インターフェイス(API)リファレンス・ガイド』には、DSP/BIOSを使用する開発について記述されています。

アプリケーション・レポート

『TMS320C28x FPU入門』では、C2000™ Delfinoマイクロコントローラ・デバイスの浮動小数点ユニット(FPU)の概要を紹介しています。

『TMS320F28xxx DSPの内部フラッシュ・メモリからアプリケーションを実行する』では、オンチップのフラッシュ・メモリからアプリケーションを実行するよう正しく構成するための要件に触れています。DSP/BIOSのプロジェクトとそれ以外のプロジェクトの両方についての要件が説明されています。サンプル・コード・プロジェクトも含まれています。

『C/C++でのTMS320x28xxおよび28xxxペリフェラルのプログラミング』では、28x DSP用のC/C++コーディングを簡単に行うための、ハードウェア抽象化レイヤの実装について説明します。この方法が従来の#defineマクロと比較され、コード効率や、特別な場合のレジスタについても触れられています。

『TMS320F280xデジタル信号コントローラでPWM出力をデジタル/アナログ・コンバータとして使用する』では、TMS320F280xファミリのデジタル信号コントローラに実装されている、オンチップのパルス幅変調(PWM)信号ジェネレータを、デジタル/アナログ・コンバータ(DAC)として使用する方法を紹介しています。

『TUSB3410 USB-to-UARTブリッジ・チップを使用するTMS320F280xデジタル信号コントローラのUSB接続性』では、単純な通信エコー・プログラムを使用する開発システムのハードウェア接続や、ソフトウェアの準備と動作について説明しています。

『TMS320x280x、28xxxの拡張直交エンコーダ・パルス(eQEP)モジュールを専用キャプチャとして使用する』では、eQEPモジュールを専用のキャプチャ・ユニットとして使用する方法を紹介しており、TMS320x280x、28xxxファミリのプロセッサに適用されます。

『ePWMモジュールによる0%~100%のデューティ・サイクル制御』では、ePWMモジュールを使用して0%~100%のデューティ・サイクル制御を行う方法のガイドを示しており、TMS320x280xファミリのプロセッサに適用されます。

『TMS320x2833x/2823xからTMS320x2834x Delfinoへの移行の概要』このアプリケーション・レポートでは、アプリケーションの移行を支援するため、テキサス・インスツルメンツ製のTMS320x2833x/2823xとTMS320x2834xデバイスとの相違点について説明しています。

『TMS320C28x DSPのオンライン・スタック・オーバーフローの検出』では、TMS320C28x DSPのオンライン・スタック・オーバーフロー検出の手法を示しています。DSP/BIOSアプリケーションとそれ以外のアプリケーションの両方について、オーバーフロー検出を実装するための機能を含むCソース・コードが紹介されています。

『半導体のパッキング手法』には、半導体デバイスをエンド・ユーザーへの配送用に準備するためのパッキング手法について記述されています。

『組み込みプロセッサの有効寿命の計算』には、TIの組み込みプロセッサ(EP)を電子機器システムで、電力を供給して使用したときの有効寿命を計算するための手法が解説されています。これは、TI EPの信頼性が最終システムの信頼性要件を満たすかどうかを判定したい一般的なエンジニアを対象としています。

『半導体とICパッケージの熱指標』には、従来型と新型の熱指標について記述され、システム・レベルの接合部温度推定に関して、それぞれの用途が解説されています。

『IBIS (I/Oバッファ情報仕様) モデル作成の概要』では、IBIS について歴史、利点、互換性、モデルの生成フロー、入力/出力構造のモデル作成におけるデータの要件、将来の動向など、各種の側面から解説しています。